CN116779437A - Substrate processing method and substrate processing apparatus - Google Patents

Substrate processing method and substrate processing apparatus Download PDF

Info

Publication number
CN116779437A
CN116779437A CN202310209034.0A CN202310209034A CN116779437A CN 116779437 A CN116779437 A CN 116779437A CN 202310209034 A CN202310209034 A CN 202310209034A CN 116779437 A CN116779437 A CN 116779437A
Authority
CN
China
Prior art keywords
substrate
post
processing
chamber
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310209034.0A
Other languages
Chinese (zh)
Inventor
依田悠
天野洋一
伊藤毅
若林孝德
安友淳志
松井久
宫内国男
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN116779437A publication Critical patent/CN116779437A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The invention provides a substrate processing method and a substrate processing apparatus for efficiently removing substances attached to a substrate. The substrate processing method for performing post-processing of a substrate having a metal film and performing etching processing on the metal film by using an etching plasma containing chlorine, the substrate processing method comprising: a, feeding the substrate into a post-processing chamber for performing the post-processing, and placing the substrate on a placing table arranged in the post-processing chamber; b, supplying water vapor to the post-processing chamber, and processing the substrate by using the water vapor; and c, supplying post-treatment plasma to the post-treatment chamber from a post-treatment plasma source arranged outside the post-treatment chamber, and performing treatment by utilizing the post-treatment plasma on the substrate.

Description

Substrate processing method and substrate processing apparatus
Technical Field
The present invention relates to a substrate processing method and a substrate processing apparatus.
Background
Patent document 1 discloses a vapor treatment method and a vapor treatment apparatus for performing vapor treatment on a substrate treated with a treatment gas.
Prior art literature
Patent literature
Patent document 1: japanese patent laid-open No. 2021-111773
Disclosure of Invention
Technical problem to be solved by the invention
The invention provides a substrate processing method and a substrate processing apparatus for efficiently removing substances attached to a substrate.
Technical scheme for solving technical problems
According to one aspect of the present invention, there is provided a substrate processing method for performing post-processing of a substrate having a metal film and performing etching processing on the metal film by using an etching plasma containing chlorine, the substrate processing method comprising: a, feeding the substrate into a post-processing chamber for performing the post-processing, and placing the substrate on a placing table arranged in the post-processing chamber; b, supplying water vapor to the post-processing chamber, and processing the substrate by using the water vapor; and c, supplying post-treatment plasma to the post-treatment chamber from a post-treatment plasma source arranged outside the post-treatment chamber, and performing treatment by utilizing the post-treatment plasma on the substrate.
Effects of the invention
The invention provides a substrate processing method and a substrate processing apparatus capable of removing substances attached to a substrate with high efficiency.
Drawings
Fig. 1 is a vertical sectional view showing an example of a thin film transistor to which post-processing by the substrate processing apparatus according to the present embodiment is applied.
Fig. 2 is a schematic diagram showing a state in the vicinity of the electrode after the etching process.
Fig. 3 is a schematic diagram showing a state in the vicinity of the post-processed electrode.
Fig. 4 is a plan view showing an example of the substrate processing system according to the present embodiment.
Fig. 5 is a longitudinal sectional view of an example of the substrate processing apparatus according to the present embodiment.
Fig. 6 is a VI-VI view in fig. 5, showing a longitudinal section of the upper and lower chambers.
Fig. 7 is a view taken from VII-VII of fig. 5, and is a cross-sectional view showing an example of the substrate processing apparatus according to the present embodiment.
Fig. 8 is a view from VIII-VIII of fig. 5, a longitudinal section of the spacer.
Fig. 9 is a flowchart showing an example of a processing flow of the substrate processing apparatus according to the present embodiment.
Fig. 10 is a graph showing the results of evaluating the residual chlorine amount when a substrate is cleaned using the substrate processing apparatus according to the present embodiment.
Fig. 11 is a diagram illustrating the effect on a substrate when the substrate is cleaned.
Fig. 12 is a diagram illustrating the influence on the substrate when the substrate is cleaned.
Fig. 13 is a diagram illustrating the influence on the substrate when the substrate is cleaned.
Description of the reference numerals
100. Post-treatment device
110. Upper chamber
120. First carrying table
130. Lower chamber
140. Second carrying table
210. 240 steam supply unit
211. 241 water vapor source
215. 243 supply piping
500. Substrate processing system
610. 620 post-treatment plasma supply part
611. 621 post-treatment plasma source
615. 625 plasma supply piping
PE1, PE2 exhaust passage
PG1 and PG2 gas supply paths
PP1 and PP2 post-treatment plasma supply path
PV1, PV2 water vapor supply paths.
Detailed Description
Hereinafter, modes for carrying out the present invention will be described with reference to the drawings. In the present specification and the drawings, substantially the same structures are denoted by the same reference numerals, and overlapping description thereof is omitted.
Variations in the degree of not impairing the effect of the embodiment are allowed in the directions of parallel, right-angle, orthogonal, horizontal, vertical, up-down, left-right, and the like. The shape of the corner is not limited to a right angle, but may be arcuate with an arc. Parallel, right angle, orthogonal, horizontal, vertical may also include substantially parallel, substantially right angle, substantially orthogonal, substantially horizontal, substantially vertical.
Embodiment(s)
< example of thin film transistor to which post-treatment is applied >
First, an example of a thin film transistor to which post-processing is applied by the substrate processing apparatus according to the present embodiment will be described with reference to fig. 1 to 3. Here, fig. 1 is a vertical sectional view showing an example of a thin film transistor to which post-processing by the substrate processing apparatus according to the present embodiment is applied. Fig. 2 is a schematic view showing a state in the vicinity of the electrode after the etching treatment, and fig. 3 is a schematic view showing a state in the vicinity of the electrode after the post-treatment.
In a flat panel display (Flat Panel Display: FPD) such as a liquid crystal display device (Liquid Crystal Display: LCD), a thin film transistor (Thin Film Transistor: TFT) is used. A thin film transistor used for a flat panel display is formed over a substrate G such as a glass substrate. Specifically, a TFT is formed by sequentially stacking a gate electrode, a gate insulating film, a semiconductor layer, and the like on the substrate G while patterning them.
In addition, the planar dimensions of substrates for flat panel displays are becoming large-scale with the passage of generations. The planar dimensions of the substrate G processed by the substrate processing system 500 (see fig. 4) include, for example, at least, dimensions ranging from 1500 mm×1800 mm in the sixth generation to 3000 mm×3400 mm in the 10.5 th generation. In addition, the thickness of the substrate G is 0.2 mm to several mm.
Fig. 1 shows a TFT of a channel type bottom gate structure. The TFT illustrated in fig. 1 has a gate electrode P1 formed on a substrate G which is a glass substrate. Next, a gate insulating film F1 made of a silicon nitride film or the like is formed on the substrate G on which the gate electrode P1 is formed. Further, a semiconductor layer F2 made of amorphous silicon and an oxide semiconductor, the surface of which is doped with n+ is laminated on the substrate G on which the gate insulating film F1 is formed. A metal film is formed on the upper layer side of the semiconductor layer F2, and the metal film formed is etched to form a source electrode P2 (an example of an electrode) and a drain electrode P3 (an example of an electrode).
After the source electrode P2 and the drain electrode P3 are formed, the surface of the n+ doped semiconductor layer F2 is etched to form a channel portion in the TFT. Next, a passivation film (not shown) made of, for example, a silicon nitride film is formed to protect the surface. Then, the source electrode P2 and the drain electrode P3 are connected to a transparent electrode (not shown) such as Indium Tin Oxide (ITO) via contact holes formed on the surface of the passivation film. By connecting the transparent electrode to the driving circuit, the driving electrode, a flat panel display is formed.
In addition to the TFT of the bottom gate structure illustrated in the drawings, there are TFTs of the top gate structure, and the like.
In the TFT shown in the drawing, as the metal films for forming the source electrode P2 and the drain electrode P3, for example, a metal film (multilayer metal film) having a multilayer structure containing Al can be applied. More specifically, a Ti/Al/Ti structured metal film in which a titanium film, an aluminum film, and a titanium film are laminated in this order from the lower layer side, a Mo/Al/Mo structured metal film in which a molybdenum film, an aluminum film, and a molybdenum film are laminated in this order from the lower layer side, or the like can be applied.
As shown in fig. 1, the resist film F3 is patterned on the surface of a metal film having a Ti/Al/Ti structure, for example. For the metal film, chlorine (Cl 2 ) Boron trichloride (BCl) 3 ) Carbon tetrachloride (CCl) 4 ) Such as chlorine-based etching gas (halogen-based etching gas), and performing dry etching treatment. In addition, chlorine (Cl) may be applied to the metal film 2 ) Boron trichloride (BCl) 3 ) Carbon tetrachloride (CCl) 4 ) A dry etching process is performed by mixing at least two or more kinds of chlorine-based etching gases (halogen-based etching gases). By this dry etching process, the source electrode P2 and the drain electrode P3 are formed.
In the case of applying a metal film having a Mo/Al/Mo structure, not only the chlorine-based etching gas but also sulfur hexafluoride (SF) can be used for the molybdenum film 6 ) And performing dry etching treatment by using an etching gas such as fluorine.
In this way, when the source electrode P2 and the drain electrode P3 are patterned by using the chlorine-based etching gas, chlorine (Cl) may adhere to the resist film F3 as shown in fig. 2. Further, aluminum chloride (chlorine-based compound), which is a compound of chlorine and aluminum, may be attached to the source electrode P2 (drain electrode P3) which is an etched metal film. When the TFT in which chlorine is adhered is transported to the atmosphere for peeling the resist film F3, the chlorine adhering to the resist film F3 and the source electrode P2 (drain electrode P3) reacts with moisture in the atmosphere to generate hydrochloric acid. When hydrochloric acid is generated, it becomes a factor causing corrosion of the source electrode P2 (drain electrode P3).
Therefore, as the post-treatment, the formation of a catalyst composed of carbon tetrafluoride (CF 4 ) With oxygen (O) 2 ) A method of removing chlorine by treating the substrate G with plasma generated from the mixed gas of (a) and (b). However, when a silicon nitride film or an organic film is used as the base film of the metal film, the silicon nitride film or the organic film may be removed during the post-treatment. In addition, in the case where the metal film is made of Mo/Al/Mo, the molybdenum film is shaved off during the post-treatment, and undercut (undercut) may occur in the molybdenum film.
Therefore, in the present embodiment, the substrate G after the source electrode P2 (drain electrode P3) is formed by etching using the chlorine-based etching gas is subjected to a process of combining the water vapor cleaning process and the plasma cleaning process. The steam cleaning treatment is carried out by using steam (H) 2 O water vapor, non-plasma water vapor) for cleaning the substrate G. The plasma cleaning process is a process of introducing a post-processing plasma from the outside and cleaning the substrate G with the post-processing plasma. In addition, a process in which a water vapor cleaning process and a plasma cleaning process are combined may be called a post-process. In the substrate processing method and the substrate processing apparatus according to the present embodiment, chlorine adhering to the source electrode P2 (drain electrode P3) is removed by combining the steam cleaning process and the plasma cleaning process.
Namely, as shown in FIG. 3, H 2 The O water vapor reacts with chlorine-based compounds attached to the source electrode P2 (drain electrode P3) to generate hydrogen chloride (HCl). Then, hydrogen chloride is separated from the source electrode P2 (drain electrode P3) to remove chlorine and chlorine-based compounds. In this case, unlike the reaction of chlorine in the atmosphere with moisture, the chlorine does not condense into hydrochloric acid in a lean environment, and rapidly escapes into the space as hydrogen chloride.
< substrate processing System of the embodiment >
Next, an example of the substrate processing system according to the present embodiment will be described with reference to fig. 4. Fig. 4 is a plan view of a substrate processing system 500, which is an example of the substrate processing system according to the present embodiment.
The substrate processing system 500 is a so-called Cluster tool (Cluster Tools). The substrate processing system 500 is a multi-chamber type system capable of performing continuous processing in a vacuum atmosphere.
The substrate processing system 500 includes a load lock chamber 10, a transfer apparatus 20, process chambers 30A, 30B, 30C, and 30D, and a post-processing apparatus 100 (an example of a substrate processing apparatus). The conveyor 20 is centrally located in the substrate processing system 500. The delivery device 20 has a delivery chamber. The conveyor 20 is also referred to as a transport module.
The conveyor 20 has a hexagonal shape in plan view. A load lock chamber 10 is mounted on one side of the conveyor 20 via a shutter 12. In addition, four process chambers 30A, 30B, 30C, and 30D (also referred to as process modules) are mounted on the other four sides of the conveyor 20 via second gates 22B (gates), respectively. The post-processing apparatus 100 (post-processing chamber) of the present embodiment is attached to the remaining side of the conveyor 20 via a first door 22A (shutter).
Each chamber is controlled to a vacuum atmosphere of the same extent. The vacuum gas is controlled to be the same level, and thus, when the first door 22A and the second door 22B are opened to transfer the substrate G between the conveyor 20 and each chamber, the pressure fluctuation between the chambers is not generated.
An atmosphere transport module (not shown) having an atmosphere transport robot (not shown) connected to a carrier (not shown) is connected to the load lock chamber 10 via a shutter 11. The carrier can accommodate a large number of substrates G placed on a carrier placement portion (not shown). The load lock chamber 10 is configured to be capable of switching the pressure atmosphere inside between a normal pressure atmosphere and a vacuum atmosphere. The load lock chamber 10 performs transfer of the substrates G drawn from the carrier by the atmospheric transfer robot between the load lock chamber and the atmospheric transfer module.
The load lock chambers 10 are stacked in two layers, for example. Inside each load lock chamber 10, a rack 14 for holding the substrates G and a positioner 13 for adjusting the positions of the substrates G are provided. After the load lock chamber 10 is controlled to be in a vacuum atmosphere, the shutter 12 is opened and communicates with the transport device 20 which is likewise controlled to be in a vacuum atmosphere. Then, the transfer device 20 is transferred with the substrate G in the X2 direction from the load lock chamber 10.
A conveyance mechanism 21 that is rotatable in the X1 direction as a circumferential direction and that slides freely toward each chamber is mounted in the conveyance device 20. The transport mechanism 21 transports the substrate G delivered from the load lock chamber 10 to a desired chamber. By opening the first door 22A and the second door 22B, the transfer of the substrate G is performed to each chamber adjusted to the same degree of vacuum atmosphere as the load lock chamber 10.
In the illustrated example, the process chambers 30A, 30B, 30C, and 30D are each plasma processing devices. In each of the processing chambers 30A, 30B, 30C, and 30D, a dry etching process using a halogen-based etching gas (e.g., a chlorine-based etching gas) can be performed. As a series of processes for processing the substrate G in the substrate processing system 500, first, the substrate G is transferred from the conveyor 20 to the processing chamber 30A. Then, a dry etching process is performed on the substrate G in the process chamber 30A. The substrate G subjected to the dry etching treatment is transferred to the conveyor 20 (the substrate G moves in the X3 direction as described above).
In the substrate G transferred to the transfer device 20, chlorine and chlorine-based compounds adhere to the source electrode P2 and the drain electrode P3 formed on the surface of the substrate G as described with reference to fig. 2. The substrate G is handed over from the conveyor 20 to the post-processing device 100. Then, the substrate G is subjected to post-treatment by a steam cleaning process and a plasma cleaning process in the post-treatment apparatus 100. Chlorine and chlorine compounds are removed from the source electrode P2 (drain electrode P3) by post-treatment. The substrate G from which chlorine or the like has been removed is transferred to the conveyor 20 (the substrate G moves in the X7 direction as described above).
Hereinafter, similarly, the substrate G in the X4 direction is transferred between the conveyor 20 and the processing chamber 30B, and the substrate G in the X7 direction is transferred between the conveyor 20 and the post-processing apparatus 100. Further, the transfer of the substrate G in the X5 direction between the conveyor 20 and the processing chamber 30C is performed, and the transfer of the substrate G in the X7 direction between the conveyor 20 and the post-processing apparatus 100 is performed. Further, the substrate G in the X6 direction is transferred between the conveyor 20 and the processing chamber 30D, and the substrate G in the X7 direction is transferred between the conveyor 20 and the post-processing apparatus 100.
The substrate processing system 500 includes: a plurality of etching chambers for performing a dry etching process (plasma etching process) using a chlorine-based etching gas; and a post-processing device 100 that performs post-processing based on the water vapor cleaning process and the plasma cleaning process. Moreover, the substrate processing system 500 performs processing according to a processing recipe that is: a series of processes including an etching process of the substrate G in each etching chamber, and a post-process by a steam cleaning process and a plasma cleaning process in the post-processing apparatus 100. The substrate processing system 500 is a cluster tool that performs a series of processes of etching and post-processing for each etching chamber. In the substrate processing system 500, the post-processing apparatus 100 described in detail below is arranged in an upper and lower layer, thereby forming a cluster tool with higher productivity.
The processing chambers may be configured to perform a dry etching process. For example, each processing chamber may be sequentially subjected to a film forming process such as a CVD (Chemical Vaper Deposition: chemical vapor deposition) process or a PVD (Physical Vaper Deposition: physical vapor deposition) process, and an etching process. That is, each processing chamber may be a cluster tool in which a film formation process and an etching process are sequentially performed. The planar shape of the conveyance device constituting the cluster tool is not limited to the hexagonal shape illustrated in the drawing, and a conveyance device having a polygonal shape corresponding to the number of connected processing chambers can be applied.
< substrate processing apparatus according to the present embodiment >
Next, an example of the substrate processing apparatus according to the present embodiment will be described with reference to fig. 5 to 8. Fig. 5 is a longitudinal sectional view of an example of the substrate processing apparatus according to the present embodiment. Fig. 6 is a view from VI to VI in fig. 5, which is a longitudinal sectional view of the upper chamber and the lower chamber, and fig. 7 is a view from VII in fig. 5, which is a cross-sectional view of an example of the substrate processing apparatus according to the present embodiment. Fig. 8 is a view from VIII-VIII of fig. 5, and is a longitudinal section of the spacer.
The post-processing apparatus 100 is an apparatus for processing a substrate G, which has been subjected to a process using a chlorine-based etching gas (an example of a processing gas), with water vapor and a remote plasma. The post-treatment device 100 has an upper chamber 110 and a lower chamber 130 separated up and down.
The upper chamber 110 has a housing 111 and an upper cover 112. The upper chamber 110 has a processing space S1 for performing a post-process on the substrate G. The housing 111 and the upper cover 112 are each formed of aluminum or an aluminum alloy. The housing 111 has a bottom plate 111b and four side walls 111a which are rectangular in plan view. The upper cover 112 has the same size as the case 111, and is rectangular in plan view. A frame-shaped engaging recess 112a is provided on the outer periphery of the lower surface of the upper cover 112.
The engagement end portions 111c of the four side walls 111a can be engaged with the frame-shaped engagement recess 112a. The engagement recess 112a is fixed to the engagement end portions 111c of the four side walls 111a by a fixing mechanism (not shown). Further, one side of the upper cover 112 may be rotatably attached to one side of the side wall 111a of the housing 111 via a rotating portion (not shown).
For example, when maintenance is performed on the upper chamber 110, the upper cover 112 is detached from the housing 111, so that maintenance can be performed on the inside of the upper chamber 110. After maintenance of the upper chamber 110, the upper cover 112 is attached to the housing 111 to form the processing space S1, whereby the upper chamber 110 can be restored to a state where processing of the substrate G is possible.
On the other hand, the lower chamber 130 has a housing 131 and a lower cover 132. The lower chamber 130 has a processing space S2 for performing a post-process on the substrate G. The case 131 and the lower cover 132 are each formed of aluminum or an aluminum alloy. The housing 131 has a top plate 131b and four side walls 131a which are rectangular in plan view. The lower cover 132 has the same size as the case 131, and is rectangular in plan view. A frame-shaped engagement recess 132a is provided on the outer periphery of the upper surface of the lower cover 132.
The engaging end portions 131c of the four side walls 131a can be engaged with the frame-shaped engaging recess 132a. The engagement recesses 132a are fixed to the engagement end portions 131c of the four side walls 131a by fixing means (not shown). One side of the lower cover 132 may be rotatably attached to one side of the side wall 131a of the case 131 via a rotating portion (not shown).
For example, when maintenance is performed on the lower chamber 130, the lower cover 132 is detached from the housing 131, so that the inside of the lower chamber 130 can be maintained. Then, after maintenance of the lower chamber 130 is performed, the lower cover 132 is attached to the housing 131 to form the processing space S2, whereby the lower chamber 130 can be restored to a state where the substrate G can be processed.
A plurality of (two in fig. 5) heat insulating members 150 are placed on the upper surface of the top plate 131b of the lower chamber 130. Further, the upper chamber 110 is placed on the plurality of heat insulating members 150. The heat insulating member 150 has heat insulating properties. The heat insulating member 150 is made of, for example, polytetrafluoroethylene or alumina (Al 2 O 3 ) Such as ceramics, stainless steel with low thermal conductivity, etc. By stacking the lower chamber 130 and the upper chamber 110 up and down through the heat insulating member 150, as described below, heat conduction from one of the upper chamber 110 and the lower chamber 130, which are subjected to temperature adjustment control, to the other chamber can be suppressed.
The upper chamber 110 and the lower chamber 130, which are made of aluminum or aluminum alloy, each have a sufficient heat capacity. The upper chamber 110 and the lower chamber 130 each have a sufficient heat capacity, and therefore can maintain a temperature of, for example, 120 ℃ or less in all cases without taking special heat insulation measures in an environment such as a clean room in which the substrate processing system 500 is housed. Further, in the maintenance of the aftertreatment device 100 and the like, the upper chamber 110 and the lower chamber 130 are controlled to a temperature of less than 60 ℃, so that an operator can perform maintenance and the like by touching the upper chamber 110 and the lower chamber 130.
The side wall of the upper chamber 110 on the conveyor 20 side has a first opening 116. The end surface of the side wall having the first opening 116 becomes the first end surface 115. On the other hand, the lower chamber 130 has a second opening 136 in the side wall on the conveyor 20 side. The end surface of the side wall having the second opening 136 becomes the second end surface 135.
The fifth opening 23 is provided in a position corresponding to the first opening 116 in the first door 22A provided in the conveying device 20. Further, in the first door 22A, a first opening/closing door 25 that opens and closes the fifth opening 23 is provided so as to be slidable in a horizontal direction or a vertical direction, for example. The first door 22A of the conveyor 20 is provided with a sixth opening 24 at a position corresponding to the second opening 136. Further, in the first door 22A, a second opening/closing door 26 that opens and closes the sixth opening 24 is provided so as to be slidable in a horizontal direction or a vertical direction, for example.
The fifth opening 23 and the sixth opening 24 may be a common opening (the fifth opening 23 and the sixth opening 24 in the illustrated example are continuous openings). That is, in the present specification, the fifth opening 23 and the sixth opening 24 are, as shown in the illustrated example, not only independent openings but also common openings.
The substrate processing system 500 has a spacer 160 interposed between each of the upper chamber 110 and the lower chamber 130 and the first door 22A. The upper chamber 110 and the lower chamber 130 are each connected to each other with the first door 22A via a spacer 160.
The spacer 160 is a plate-like member 161. The spacer 160 is formed of aluminum or an aluminum alloy. The plate member 161 is provided with a third opening 164 communicating with the first opening 116 of the upper chamber 110. Further, a fourth opening 165 communicating with the second opening 136 of the lower chamber 130 is opened in the plate-like member 161. The third opening 164 and the fourth opening 165 are respectively communicated with the fifth opening 23 and the sixth opening 24 of the first door 22A.
Accordingly, when the first opening/closing door 25 is opened, the first opening 116, the third opening 164, and the fifth opening 23 communicate. When the first opening 116, the third opening 164, and the fifth opening 23 are communicated, the transfer of the substrate G between the conveyor 20 and the upper chamber 110 is enabled. On the other hand, when the second opening/closing door 26 is opened, the second opening 136, the fourth opening 165, and the sixth opening 24 communicate. When the second opening 136, the fourth opening 165, and the sixth opening 24 are communicated, the transfer of the substrate G between the conveyor 20 and the lower chamber 130 is enabled.
The upper chamber 110 has a first stage 120 for placing the substrate G on a bottom surface. The first mounting table 120 is a plate-like member having a planar dimension on the inner side of the housing 111. The first stage 120 is formed of aluminum or an aluminum alloy.
The first mounting table 120 may be formed of a plurality of elongated block members, and may be formed by disposing the plurality of block members with a gap therebetween, for example. The shaft member may be accommodated in the gap, and the shaft member may constitute a substrate supporting portion (not shown) of a transport member for placing the substrate thereon.
Similarly, the lower chamber 130 has a second stage 140 for placing the substrate G on the bottom surface. The second mounting table 140 is a plate-like member having a planar dimension on the inner side of the case 131. The second stage 140 is formed of aluminum or an aluminum alloy.
The second stage 140 may be formed of a plurality of elongated block members provided with gaps therebetween, similarly to the first stage 120.
A plurality of protrusions 124 are provided on the upper surface of the first mounting table 120 at intervals. The substrate G can be placed on the projection 124. Similarly, a plurality of protrusions 144 are provided on the upper surface of the second mounting table 140 at intervals. A substrate G can be provided on the protrusion 144.
The upper chamber 110 has a pressure gauge 118 that measures the pressure in the processing space S1. The lower chamber 130 has a pressure gauge 138 that measures the pressure in the processing space S2. The monitoring information of each of the pressure gauge 118 and the pressure gauge 138 is transmitted to the control unit 400.
A supply pipe 215 is connected to the upper chamber 110, and the supply pipe 215 communicates with a water vapor source 211 of water vapor constituting the water vapor supply unit 210. A supply valve 212 is inserted in a supply pipe 215 that communicates with the water vapor source 211. The path from the water vapor source 211 to the upper chamber 110 via the supply pipe 215 is referred to as a water vapor supply path PV1. Further, an exhaust pipe 225 is connected to the upper chamber 110, and the exhaust pipe 225 communicates with a vacuum pump 221 such as a turbo molecular pump constituting the exhaust unit 220. An exhaust valve 222 is inserted into an exhaust pipe 225 communicating with the vacuum pump 221. The path from the upper chamber 110 to the vacuum pump 221 via the exhaust pipe 225 is referred to as an exhaust path PE1.
Further, a supply pipe 235 is connected to the upper chamber 110, and the supply pipe 235 and the supply nitrogen (N) 2 ) The supply source 231 of the inert gas constituting the inert gas supply unit 230 communicates. A supply valve 232 is inserted into a supply pipe 235 that communicates with a supply source 231. The path from the supply source 231 to the upper chamber 110 via the supply pipe 235 is referred to asIs the gas supply path PG1. A plasma supply pipe 615 is connected to the upper chamber 110, and the plasma supply pipe 615 communicates with a post-processing plasma source 611 which supplies post-processing plasma and constitutes a post-processing plasma supply unit 610. A supply valve 612 is inserted in a plasma supply pipe 615 that communicates with the post-processing plasma source 611. A path from the post-processing plasma source 611 to the upper chamber 110 via the plasma supply pipe 615 is referred to as a post-processing plasma supply path PP1.
On the other hand, a supply pipe 245 is connected to the lower chamber 130, and the supply pipe 245 communicates with a water vapor source 241 of water vapor constituting the water vapor supply unit 240. A supply valve 242 is inserted in a supply pipe 245 communicating with the water vapor source 241. The path from the water vapor source 241 to the lower chamber 130 via the supply pipe 245 is referred to as a water vapor supply path PV2. An exhaust pipe 255 is connected to the lower chamber 130, and the exhaust pipe 255 communicates with a vacuum pump 251 such as a turbo molecular pump constituting the exhaust portion 250. An exhaust valve 252 is inserted into an exhaust pipe 255 that communicates with the vacuum pump 251. The path from the lower chamber 130 to the vacuum pump 251 via the exhaust pipe 255 is referred to as an exhaust path PE2.
Further, a supply pipe 265 is connected to the lower chamber 130, and the supply pipe 265 and the supply nitrogen (N 2 ) The supply source 261 of the inert gas constituting the inert gas supply section 260 communicates with the inert gas. A supply valve 262 is inserted into a supply pipe 265 that communicates with the supply source 261. A path from the supply source 261 to the lower chamber 130 via the supply pipe 265 is referred to as a gas supply path PG2. A plasma supply pipe 625 is connected to the lower chamber 130, and the plasma supply pipe 625 communicates with a post-processing plasma source 621 that supplies post-processing plasma and constitutes a post-processing plasma supply unit 620. A supply valve 622 is inserted in a plasma supply pipe 625 that communicates with the post-processing plasma source 621. The path from the post-processing plasma source 621 to the lower chamber 130 via the plasma supply pipe 625 is referred to as a post-processing plasma supply path PP2.
The post-processing plasma source 611 is disposed outside the upper chamber 110. In addition, a post-processing plasma source 621 is disposed outside the lower chamber 130. The post-processing plasma source 611 and the post-processing plasma source 621 each generate post-processing plasma, for example, from oxygen.
By operating the vacuum pump 221, the processing space S1 is adjusted to a vacuum atmosphere. In addition, by operating the vacuum pump 251, the processing space S2 is adjusted to a vacuum atmosphere. By adjusting the processing space S1 and the processing space S2 to the vacuum atmosphere, differential pressure control is performed so as to reduce the pressure difference between the conveyor 20, which is also adjusted to the vacuum atmosphere, as much as possible.
In the upper chamber 110, the exhaust unit 220 is operated to adjust the processing space S1 to a vacuum atmosphere, and the steam supply unit 210 is operated to supply steam into the processing space S1. By supplying water vapor into the processing space S1, the substrate G placed in the processing space S1 can be subjected to a water vapor cleaning process. The inert gas is supplied from the inert gas supply unit 230 while evacuating the processing space S1. By supplying the inert gas, water vapor, hydrogen chloride, and the like remaining in the processing space S1 can be removed.
On the other hand, in the lower chamber 130, the exhaust unit 250 is operated to adjust the processing space S2 to a vacuum atmosphere, and the steam supply unit 240 is operated to supply steam into the processing space S2. By supplying water vapor into the processing space S2, the substrate G placed in the processing space S2 can be subjected to a water vapor cleaning process. The inert gas is supplied from the inert gas supply unit 260 while evacuating the process space S2. By supplying the inert gas, water vapor, hydrogen chloride, and the like remaining in the processing space S2 can be removed.
The first stage 120 is provided with a temperature control medium flow path 122 through which a temperature control medium flows. In the temperature control medium flow path 122 of the illustrated example, for example, one end of the temperature control medium flow path 122 is an inflow portion of the temperature control medium, and the other end is an outflow portion of the temperature control medium. As the temperature adjusting medium, for example, a fluorine-based inert liquid having a basic structure of perfluoropolyether (PFPE), perfluorocarbon (PFC), or Hydrofluoroether (HFE) can be used.
In addition, instead of the temperature control medium flow path 122, a heater or the like may be incorporated in the first mounting table 120, and in this case, the resistor is a heater and may be formed from tungsten, molybdenum, or a compound of any of these metals with alumina, titanium, or the like.
On the other hand, the second mounting table 140 is provided with a temperature control medium flow path 142 through which the temperature control medium flows. In the temperature control medium flow path 142 of the illustrated example, for example, one end of the temperature control medium flow path 142 is an inflow portion of the temperature control medium, and the other end is an outflow portion of the temperature control medium. As the temperature adjusting medium, for example, a fluorine-based inert liquid having a basic structure of perfluoropolyether (PFPE), perfluorocarbon (PFC), or Hydrofluoroether (HFE) can be used.
The temperature control source 311 formed by the cooler has a main body portion for controlling the temperature of the temperature control medium and releasing the flow rate, and a pump (not shown) for pumping the temperature control medium.
The temperature control source 311 and the temperature control medium flow path 122 are connected by a delivery flow path 312 through which the temperature control medium is supplied from the temperature control source 311, and a return flow path 313 through which the temperature control medium flowing through the temperature control medium flow path 122 returns to the temperature control source 311. The temperature control source 311 is connected to the temperature control medium flow path 142 through a delivery flow path 314 through which the temperature control medium is supplied from the temperature control source 311, and a return flow path 315 through which the temperature control medium flowing through the temperature control medium flow path 142 returns to the temperature control source 311.
The stage temperature adjusting unit 310 is formed by a temperature adjusting source 311, a delivery flow path 312, a return flow path 313, a delivery flow path 314, and a return flow path 315.
As shown in the example, the temperature control medium flow path 122 and the temperature control medium flow path 142 may be connected to the common temperature control source 311, or the temperature control medium flow path 122 and the temperature control medium flow path 142 may have a specific temperature control source. Each of the temperature control medium flow paths 122 and 142 can be individually controlled.
As described above, by individually controlling each of the temperature control medium flow path 122 and the temperature control medium flow path 142, for example, when maintaining the lower chamber 130, only the upper chamber 110 can be operated to perform the post-processing of the substrate G. The upper chamber 110 has an inherent water vapor supply portion 210, an exhaust portion 220, and the like. The lower chamber 130 includes a water vapor supply portion 240, an exhaust portion 250, and the like. Accordingly, each of the upper chamber 110 and the lower chamber 130 is configured to be capable of controlling each constituent portion individually.
By individually controlling the respective constituent parts constituting the upper chamber 110 and the lower chamber 130, even when one chamber is stopped due to maintenance or the like, the operation of the other chamber can be continued. Therefore, the complete stop of the operation of the post-processing apparatus 100 can be released, and the post-processing can be performed with high productivity.
In addition, in the post-processing apparatus 100, post-processing is performed in the upper chamber 110 and the lower chamber 130. Therefore, the capacity of the chamber in which the post-processing is actually performed can be reduced as much as possible. Further, by performing surface treatment repair (corrosion-resistant coating treatment or the like) of the inside of the upper chamber 110 and the lower chamber 130 of a low capacity as much as possible, maintenance can be easily performed since repair is sufficient.
The water vapor source 211, the water vapor source 241, the vacuum pump 221, and the vacuum pump 251 in the example shown in the figures can be separate water vapor sources and vacuum pumps, but may be a common water vapor source and a common vacuum pump. In this embodiment, the supply pipes of the two systems are connected to the upper chamber 110 and the lower chamber 130 from one water vapor source, and the individual supply valves are inserted into the supply pipes, so that the opening and closing control of the individual supply valves is individually performed. Similarly, exhaust pipes of the two systems are connected from one vacuum pump to the upper chamber 110 and the lower chamber 130, and an exhaust valve is inserted in each exhaust pipe, and the opening and closing control of each exhaust valve is individually performed. In this embodiment, the number of the water vapor sources and the vacuum pumps can be reduced, and the manufacturing cost of the device can be reduced.
The supply mode and the exhaust mode of the water vapor and the supply mode of the post-processing plasma in the upper chamber 110 will be described with reference to fig. 7. The same water vapor supply mode, exhaust mode, and post-treatment plasma supply mode can be applied to the lower chamber 130. As shown in fig. 7, the supply pipe 215 is formed of a main pipe 213 and a plurality of (4 in the example of the figure) branch pipes 214 branched from the main pipe 213. Branch pipes 214 are connected to sidewalls of the upper chamber 110, respectively. The supply pipe 215 communicates with the water vapor source 211 shown in fig. 5.
The exhaust pipe 225 is formed of a main pipe 226 and a plurality of (3 in the example shown in the figure) branch pipes 227 branched from the main pipe 226. Branch pipes 227 are connected to the side walls of the upper chamber 110 (the side walls on the opposite sides from the side walls through which the branch pipes 214 penetrate), respectively. The exhaust pipe 225 communicates with the vacuum pump 221 shown in fig. 5.
The plasma supply pipe 615 is connected to a side wall of the upper chamber 110 (in the illustrated example, a side wall similar to the side wall to which the branch pipe 214 is connected). The plasma supply pipe 615 communicates with the post-processing plasma source 621 shown in fig. 5. The post-treatment plasma is supplied from the plasma supply pipe 615 in the direction of the arrow-headed line P.
As shown in fig. 7, in the upper chamber 110, water vapor is supplied in a layered manner in the Y direction from a plurality of branch pipes 214 provided in a supply pipe 215. By this supply method, water vapor can be efficiently supplied to the entire region of the substrate G placed in the upper chamber 110. Further, the plurality of branch pipes 227 included in the exhaust pipe 225 can efficiently exhaust water vapor, hydrogen chloride (HCl) generated by post-treatment, and the like in the upper chamber 110. The number of branch pipes 214 and 227 may be other than the number shown in the figure (1, 5, etc.).
The supply mode and the exhaust mode of the steam other than the illustrated example may be applied. For example, an inflow space for supplying water vapor is provided in the upper lid of the upper chamber and the ceiling of the lower chamber, a showerhead supply unit is provided below the inflow space, and water vapor is supplied in a shower-like manner to the substrate below the showerhead supply unit via the showerhead supply unit. The water vapor supplied in a spray-like manner in the vertical direction is supplied while being diffused over the entire region of the substrate. In addition, instead of the shower head supply portion, one or more supply pipes may be connected to the upper lid of the upper chamber and the ceiling of the lower chamber, and water vapor may be supplied from the top through the supply pipes.
In the aftertreatment device 100, since the upper chamber 110 and the lower chamber 130 are laminated, the occupation space of the aftertreatment device 100 can be reduced and the productivity can be improved. Here, assuming that the upper chamber 110 and the lower chamber 130 are directly connected to the first door 22A of the conveyor 20, there is a possibility that the strength around each of the first opening 116 in the upper chamber 110 and the second opening 136 in the lower chamber 130 is poor. Accordingly, in the aftertreatment device 100, the upper chamber 110 and the lower chamber 130 are connected to the spacer 160. Further, a structure of connecting the spacer 160 to the first door 22A of the conveyor 20 is applied. With this structure, the strength around each of the first opening 116 in the upper chamber 110 and the second opening 136 in the lower chamber 130 can be improved.
A sealing arrangement is provided between the circumference of the first opening 116 in the first end face 115 of the upper chamber 110 and the circumference of the third opening 164 in the third end face 162 of the spacer 160 corresponding thereto. In the sealing structure, for example, a rectangular frame-shaped seal groove (not shown) is provided around either or both of the first opening 116 in the first end surface 115 and the third opening 164 in the third end surface 162, and a rectangular frame-shaped O-ring (not shown) is fitted into the seal groove. Likewise, a sealing structure is provided between the periphery of the second opening 136 in the second end surface 135 of the lower chamber 130 and the periphery of the fourth opening 165 in the third end surface 162 of the spacer 160 corresponding thereto. In the sealing structure, for example, a rectangular frame-shaped seal groove (not shown) is provided around either or both of the second opening 136 in the second end surface 135 and the fourth opening 165 in the third end surface 162, and a rectangular frame-shaped O-ring is fitted into the seal groove. Thus, the upper and lower chambers 110 and 130 and the spacer 160 are hermetically connected via O-rings.
In addition, a sealing structure is provided between the periphery of the fifth opening 23 in the first door 22A and the periphery of the third opening 164 in the fourth end face 163 of the spacer 160 corresponding thereto. In the sealing structure, for example, a rectangular frame-shaped seal groove (not shown) is provided around either one or both of the fifth opening 23 and the third opening 164 in the fourth end face 163, and a rectangular frame-shaped O-ring (not shown) is fitted into the seal groove. Similarly, a rectangular frame-shaped seal groove (not shown) is provided around the sixth opening 24 in the first door 22A and around the fourth opening 165 in the fourth end surface 163 of the spacer 160 or both, and a rectangular frame-shaped O-ring (not shown) is fitted into the seal groove. With this structure, the spacer 160 and the first door 22A are hermetically connected via an O-ring.
As described above, the first door 22A of the present embodiment has been described as a shutter having a structure in which the fifth opening 23 and the sixth opening 24, which are openings of the housing, are opened and closed by the first opening and closing door 25 and the second opening and closing door 26, which are valve bodies. Note that, the shutter may be configured to directly open and close the third opening 164 and the fourth opening 165 of the spacer 160 with separate valve bodies, instead of the opening of the housing. In this embodiment, it is not necessary to provide separate seal grooves and O-rings in the fifth opening 23 and the sixth opening 24, but one seal groove and one O-ring surrounding both the fifth opening 23 and the sixth opening 24 can be provided, and the structure can be simplified.
A heat insulating structure, not shown, is provided between the upper chamber 110 and the spacer 160, and between the lower chamber 130 and the spacer 160, and the upper chamber 110 and the lower chamber 130 are configured to be independently temperature-controllable. A heat insulating structure is also provided between the first door 22A and the spacer 160. The insulating structure may also be provided with spacers interposed between the upper chamber 110, the lower chamber 130, and the first door 22A and the spacer 160, for example. The spacers are preferably formed of a material having low thermal conductivity compared to the forming materials of the upper chamber 110, the lower chamber 130, and the spacers 160. For example, in the case where the upper chamber 110, the lower chamber 130, and the spacers 160 are formed of aluminum or an aluminum alloy, they are preferably formed of a metal such as stainless steel or a ceramic such as alumina.
As shown in fig. 5 and 8, a temperature control medium flow path 166 (an example of the first temperature control unit) through which the temperature control medium flows is provided around the third opening 164 in the spacer 160. In the spacer 160, a temperature control medium flow path 167 (an example of the second temperature control unit) through which the temperature control medium flows is provided around the fourth opening 165.
In the temperature control medium flow path 166 of the illustrated example, for example, one end of the temperature control medium flow path 166 is an inflow portion of the temperature control medium, and the other end is an outflow portion of the temperature control medium. In the temperature control medium flow path 167, for example, one end of the temperature control medium flow path 167 is an inflow portion of the temperature control medium, and the other end is an outflow portion of the temperature control medium. As the temperature adjusting medium, for example, a fluorine-based inert liquid having a basic structure of perfluoropolyether (PFPE), perfluorocarbon (PFC), or Hydrofluoroether (HFE) can be used.
In addition, instead of the temperature control medium flow path 166 and the temperature control medium flow path 167, a heater or the like may be incorporated in the spacer 160, and in this case, the heater serving as a resistor may be formed of tungsten, molybdenum, or a compound of any of these metals with aluminum oxide, titanium, or the like.
The temperature control source 321 and the temperature control source 331 each formed by a cooler have a main body portion that controls the temperature of the temperature control medium, the discharge flow rate, and a pump (neither shown) that pumps the temperature control medium.
The temperature control source 321 and the temperature control medium flow path 166 are connected by a delivery flow path 322 for supplying the temperature control medium from the temperature control source 321 and a return flow path 323 for returning the temperature control medium flowing through the temperature control medium flow path 166 to the temperature control source 321. The temperature control source 331 and the temperature control medium flow path 167 are connected by a delivery flow path 332 for supplying the temperature control medium from the temperature control source 331 and a return flow path 333 for returning the temperature control medium flowing through the temperature control medium flow path 167 to the temperature control source 331.
The third opening ambient temperature adjustment unit 320 is formed by the temperature adjustment source 321, the delivery flow path 322, and the return flow path 323, and the fourth opening ambient temperature adjustment unit 330 is formed by the temperature adjustment source 331, the delivery flow path 332, and the return flow path 333.
In addition to the form in which the temperature control medium flow path 166 and the temperature control medium flow path 167 are connected to the separate temperature control source 321 and the temperature control source 331, as in the illustrated example, the temperature control medium flow path 166 and the temperature control medium flow path 167 may have a common temperature control source. Each of the temperature control medium flow paths 166 and 167 can be controlled individually in either mode.
As described above, by individually controlling each of the temperature adjustment medium flow path 166 and the temperature adjustment medium flow path 167, for example, when the lower chamber 130 is maintained, the surroundings of the fourth opening 165 of the spacer 160 communicating with the second opening 136 can be individually temperature-adjusted. By individually adjusting the temperature around the fourth opening 165 of the spacer 160 communicating with the second opening 136, the temperature can be adjusted to a temperature that is not dangerous even if the operator touches the lower chamber 130. Further, the periphery of the third opening 164 of the spacer 160 communicating with the first opening 116 can be adjusted to a temperature suitable for the post-processing, as in the upper chamber 110, and the post-processing of the substrate G can be performed.
In the post-processing apparatus 100, a structure is applied in which the upper chamber 110 and the lower chamber 130 are connected to the spacer 160, and the spacer 160 is connected to the first door 22A of the conveying apparatus 20. According to this structure, as described above, the strength around the first opening 116 and the second opening 136 of each of the upper chamber 110 and the lower chamber 130 can be improved.
By providing the spacer 160 between the upper chamber 110, the lower chamber 130, and the first door 22A of the conveyor 20, the third opening 164 and the fourth opening 165 provided in the spacer 160 also become post-processing spaces (processing spaces).
However, it is difficult to adjust the process temperatures in the upper and lower chambers 110 and 130 to the same extent as the temperature of the spacer 160 using only the temperature adjustment source 311, for example, the spacer 160 may become a relatively low temperature region (so-called cold zone). In this case, the relatively low-temperature spacers 160 affect the processing temperatures in the upper chamber 110 and the lower chamber 130, and cause a decrease in the water vapor processing performance. In addition, the deposits are likely to adhere to the third opening 164 and the fourth opening 165 of the spacer 160, which may cause generation of particles.
Accordingly, in the aftertreatment device 100, separate first and second temperature adjustment sections, that is, the temperature adjustment medium flow path 166 and the temperature adjustment medium flow path 167 are provided around the third and fourth openings 164 and 165 of the spacer 160, respectively. According to this structure, when one chamber is maintained and the post-treatment is performed in the other chamber, separate temperature control can be realized. Further, in the post-treatment in the upper chamber 110 or the lower chamber 130, the spacer 160 can be eliminated from becoming a cold zone.
The control unit 400 controls the operations of the constituent units of the post-processing apparatus 100, for example, the steam supply units 210 and 240, the exhaust units 220 and 250, the post-processing plasma supply units 610 and 620, the inert gas supply units 230 and 260, the temperature control sources 311, 321, 331, and the like. The control section 400 includes a CPU (Central Processing Unit: central processing unit), a ROM (Read Only Memory), and a RAM (Random Access Memory: random access Memory). The CPU executes predetermined processing in accordance with a scheme (processing scheme) stored in a memory area such as a RAM. The recipe is set with control information of the post-processing device 100 corresponding to the processing conditions.
The control information includes, for example, the pressure of the water vapor sources 211 and 241, the pressures of the upper chamber 110 and the lower chamber 130, the temperature and flow rate of the water vapor supplied from the water vapor sources 211 and 241, the processing time and timing (timing) of the water vapor supply process and the exhaust process from the respective chambers, and the like. The control information includes, for example, the pressure of the post-processing plasma sources 611 and 621 to which the post-processing plasma is supplied.
The program to be applied by the scheme and control section 400 may be stored in, for example, a hard disk, an optical disk, a magneto-optical disk, or the like. The program and the like may be mounted on the control unit 400 in a state of being stored in a removable computer-readable storage medium such as a CD-ROM, DVD, or memory card, and may be read. The control unit 400 includes, in addition to input devices such as a keyboard and a mouse for inputting commands, display devices such as a display for visually displaying the operation states of the post-processing device 100, and user interfaces such as an output device such as a printer.
< method for treating substrate according to the present embodiment >
Next, an example of the substrate processing method according to the present embodiment will be described with reference to fig. 9. Here, fig. 9 is a flowchart showing an example of a processing flow of the substrate processing apparatus according to the present embodiment.
In the substrate processing method of the present embodiment, first, a substrate processing system 500 having the post-processing apparatus 100 shown in fig. 5 to 8 is prepared (step of preparing the substrate processing apparatus). Further, in the substrate processing method of the present embodiment, the dry etching process is performed on the substrate G in any one of the process chambers 30A, 30B, 30C, and 30D. Further, the substrate G is a substrate having a metal film. Then, the metal film of the substrate G is subjected to dry etching treatment by using chlorine-containing etching plasma.
The substrate G subjected to the dry etching treatment is transferred from any one of the processing chambers 30A, 30B, 30C, and 30D subjected to the dry etching treatment to the transfer device 20. In addition, the substrate G is handed over from the conveyor 20 to either one of the upper chamber 110 and the lower chamber 130 of the post-processing apparatus 100. In addition, 2 substrates G may be respectively transferred to the upper chamber 110 and the lower chamber 130.
In other words, the substrate G subjected to the dry etching process is disposed in either one of the upper chamber 110 and the lower chamber 130 (step S10). Specifically, the substrate G subjected to the dry etching process is fed into any one of the upper chamber 110 and the lower chamber 130. When the substrate G is fed into the upper chamber 110, the substrate G is placed on the first stage 120 disposed inside the upper chamber 110. When the substrate G is fed into the lower chamber 130, the substrate G is placed on the second placement stage 140 disposed inside the lower chamber 130. In the following description, a case where 2 substrates G are disposed in the upper chamber 110 and the lower chamber 130, respectively, and post-processing is performed at the same time will be described.
Next, the process space S1 of the upper chamber 110 and the process space S2 of the lower chamber 130 are supplied with water vapor, respectively, to thereby perform a water vapor cleaning process on the substrate G (step S20, water vapor cleaning process step).
Step S20 will be described more specifically. In step S20, as shown in fig. 9, the supply valve 212 of the water vapor source 211 and the supply valve 242 of the water vapor source 241 are controlled to be opened (step S22). Next, water vapor is supplied from the water vapor source 211 to the upper chamber 110. In addition, water vapor is supplied from the water vapor source 241 to the lower chamber 130. Then, the water vapor cleaning process is performed for a predetermined time by maintaining the state in which the water vapor is supplied to each of the upper chamber 110 and the lower chamber 130 (step S24).
In the steam cleaning process, the first stage 120 and the second stage 140 are temperature-controlled by the stage temperature-controlling section 310, and the third opening-surrounding temperature-controlling section 320 and the fourth opening-surrounding temperature-controlling section 330 are temperature-controlled around the third opening 164 and around the fourth opening 165 of the spacer 160, respectively.
By this temperature adjustment control, the temperatures in the processing space S1 of the upper chamber 110 and the processing space S2 of the lower chamber 130 are always adjusted so as not to be lower than the temperatures of the water vapor source 211 and the water vapor source 241, respectively. By this adjustment, liquefaction of the supplied water vapor can be suppressed.
For example, in the case where the temperature of the supplied water vapor is, for example, 20 ℃ to 50 ℃, the temperature (an example of the first temperature) in the processing space S1 of the upper chamber 110 and the processing space S2 of the lower chamber 130 is adjusted to 60 ℃ to 120 ℃. The first temperature in each of the processing space S1 and the processing space S2 is a threshold value of the temperature in each of the processing space S1 and the processing space S2 at the time of the steam treatment.
Also, in the steam cleaning process, the circumference of the third opening 164 and the circumference of the fourth opening 165 of the spacer 160 are also adjusted to the same or the same degree of temperature as the first temperature in each of the process space S1 and the process space S2.
On the other hand, for example, when the lower chamber 130 is maintained while the upper chamber 110 is operated, the process space S1 of the upper chamber 110 and the periphery of the third opening 164 of the spacer 160 are adjusted to the first temperature, that is, 60 ℃ to 120 ℃. In contrast, the process space S2 of the lower chamber 130, which is the maintenance object, and the circumference of the fourth opening 165 of the spacer 160 are adjusted to be less than 60 ℃. Thereby, the water vapor treatment in one chamber and the maintenance of the other chamber can be performed simultaneously.
When the water vapor is supplied to the upper chamber 110, the water vapor can be efficiently supplied to the upper chamber 110 by increasing the pressure difference (differential pressure) between the pressure of the water vapor source 211 and the pressure of the upper chamber 110 as much as possible. Similarly, when water vapor is supplied to the lower chamber 130, the pressure difference (differential pressure) between the pressure of the water vapor source 241 and the pressure of the lower chamber 130 is increased as much as possible, so that water vapor can be efficiently supplied to the lower chamber 130. Therefore, it is preferable to make the pressure of each of the water vapor source 211 and the water vapor source 241 as high as possible and the pressure of each of the upper chamber 110 and the lower chamber 130 as low as possible.
However, from the viewpoint of ease of control of each of the water vapor source 211 and the water vapor source 241, it is preferable that each of the water vapor source 211 and the water vapor source 241 is operated at a temperature as low as possible. Therefore, for example, water vapor having a temperature of 20 ℃ to 50 ℃ is supplied to the inner chamber as described above. The pressure of water vapor at 20℃was 2.67kpa (20 Torr), and the pressure of water vapor at 50℃was 20.0kpa (90 Torr).
In this way, from the viewpoint of controlling the operation of each of the water vapor source 211 and the water vapor source 241, it is preferable to supply water vapor at a temperature as low as possible. On the other hand, when the temperature of the water vapor is low, the pressure of each of the water vapor source 211 and the water vapor source 241 becomes low this time, and the differential pressure between the water vapor source 211 and the upper chamber 110 and the differential pressure between the water vapor source 241 and the lower chamber 130 are difficult to become large. Therefore, it is difficult for each of the upper and lower chambers 110 and 130 to efficiently supply the water vapor, and the water vapor treatment time may become long.
However, in the aftertreatment device 100 shown in fig. 5 and the like, the capacity of each of the upper chamber 110 and the lower chamber 130 is as low as possible. Therefore, even when the temperature of the supplied water vapor is low, the differential pressure between the water vapor source 211 and the upper chamber 110 and the differential pressure between the water vapor source 241 and the lower chamber 130 can be increased in a time as short as possible.
When the supply valve 212 of the water vapor source 211 and the supply valve 242 of the water vapor source 241 are controlled to be opened (step S22), the exhaust valve 222 of the upper chamber 110 and the exhaust valve 252 of the lower chamber 130 may be controlled to be closed or opened, respectively.
Returning to fig. 9, after the steam cleaning process is completed, the supply valve 212 of the steam source 211 and the supply valve 242 of the steam source 241 are each closed (step S26). Next, by controlling the opening of the exhaust valve 222 of the upper chamber 110 and the exhaust valve 252 of the lower chamber 130 (step S28), the water vapor in the upper chamber 110 and the lower chamber 130, hydrogen chloride (HCl) generated by the water vapor purge process, and the like are exhausted.
The respective pressures of the water vapor source 211 and the water vapor source 241 are increased by the closing control of the supply valve 212 of the water vapor source 211 and the supply valve 242 of the water vapor source 241, and the exhaustion of water vapor, hydrogen chloride (HCl), or the like. On the other hand, the pressure of each of the upper chamber 110 and the lower chamber 130 drastically decreases. In addition, in addition to the exhaust from the upper and lower chambers 110 and 130, purge may be appropriately performed using an inert gas.
Next, plasma cleaning processing is performed on the substrate G by supplying plasma to the processing space S1 of the upper chamber 110 and the processing space S2 of the lower chamber 130, respectively (step S30, plasma cleaning processing step).
Step S30 will be described more specifically. In step S30, as shown in fig. 9, the supply valve 612 of the post-processing plasma source 611 and the supply valve 622 of the post-processing plasma source 621 are individually controlled to be opened (step S32). Next, the upper chamber 110 is supplied with the post-processing plasma from the post-processing plasma source 611. Further, the lower chamber 130 is supplied with the post-processing plasma from the post-processing plasma source 621. Then, in a state where post-processing plasma is supplied to each of the upper chamber 110 and the lower chamber 130, the plasma cleaning process is performed for a predetermined time by holding for a predetermined time (step S34).
In the plasma cleaning process, the first stage 120 and the second stage 140 are temperature-controlled by the stage temperature controller 310, and the third opening ambient temperature controller 320 and the fourth opening ambient temperature controller 330 are temperature-controlled around the third opening 164 and around the fourth opening 165 of the spacer 160, respectively.
When the supply valve 612 and the supply valve 622 are each controlled to be opened (step S32), the exhaust valve 222 of the upper chamber 110 and the exhaust valve 252 of the lower chamber 130 may be controlled to be closed or opened.
Returning to fig. 9, after the plasma cleaning process is completed, the supply valve 612 of the post-processing plasma source 611 and the supply valve 642 of the post-processing plasma source 621 are each closed-controlled (step S36). Next, by controlling the opening of the exhaust valve 222 of the upper chamber 110 and the exhaust valve 252 of the lower chamber 130 (step S38), the chlorides and the like generated by the plasma cleaning process in the upper chamber 110 and the lower chamber 130 are exhausted.
When the supply valve 612 of the post-processing plasma source 611 and the supply valve 622 of the post-processing plasma source 621 are closed-controlled, the pressures of the upper chamber 110 and the lower chamber 130 are drastically reduced by exhausting the water vapor, the chloride, or the like. Then, in each of the upper chamber 110 and the lower chamber 130, a state in which a substrate process can be performed on a new substrate is formed. In addition, in addition to the evacuation from the upper and lower chambers 110 and 130, the purging may be performed by appropriately using an inert gas.
According to the illustrated substrate processing method, substrate processing can be performed at a high productivity by applying the post-processing apparatus 100.
In addition, when maintenance is performed on either one of the upper chamber 110 and the lower chamber 130, the substrate can be subjected to the water vapor treatment and the plasma cleaning treatment using only the other one. Therefore, the operation of the post-processing apparatus 100 can be completely stopped, and thus the post-processing can be performed with high productivity.
In fig. 9, the plasma cleaning process of step S30 is performed after the steam cleaning process of step S20, but the process is not limited to the above-described sequence of cleaning processes. For example, the plasma cleaning process of step S30 may be performed first, and the steam cleaning process of step S20 may be performed after the plasma cleaning process.
In addition, the steam cleaning process of step S20 and the plasma cleaning process of step S30 may be performed simultaneously. That is, the control of opening the supply valve of the vapor source and the control of opening the supply valve of the post-treatment plasma source may be performed simultaneously, and the substrate G may be cleaned for a predetermined time.
< Dry etching method of the present embodiment >
Next, an example of the dry etching method according to the present embodiment will be described. The metal film to be treated is a metal film (multilayer metal film) having a multilayer structure, and the multilayer metal film includes a metal film made of, for example, aluminum, which is etched with chlorine, and the metal film has a multilayer structure with other metal films. As an example of the multilayer metal film, a Ti/Al/Ti structured metal film in which a titanium film, an aluminum film, and a titanium film are laminated in this order from the lower layer side can be exemplified. As another example of the multilayer metal film, a Mo/Al/Mo structured metal film in which a molybdenum film, an aluminum film, and a molybdenum film are laminated in this order from the lower layer side can be exemplified.
In the dry etching method of the present embodiment, first, a substrate processing system 500 having the post-processing apparatus 100 shown in fig. 5 to 8 is prepared (step of preparing the substrate processing apparatus).
Next, in any one of the process chambers 30A, 30B, 30C, and 30D constituting the substrate processing system 500, the above-described multilayer metal film provided on the surface of the substrate G is subjected to dry etching treatment. In the dry etching process of any of the above metal films constituting the multilayer metal film, a chlorine-containing gas, for example, any one of chlorine-based etching gases such as chlorine gas, boron trichloride gas, and carbon tetrachloride gas is used. In addition, a mixed gas obtained by mixing at least two or more kinds of chlorine-containing gases, for example, chlorine gas, boron trichloride gas, and chlorine-containing etching gas such as carbon tetrachloride gas may be used.
More specifically, in the dry etching process of a metal film having a Ti/Al/Ti structure, chlorine gas or a mixed gas of chlorine gas and boron trichloride gas is used as a process gas. In addition, for shape control, a multi-stage etching process is performed on each metal film while changing the process conditions such as the flow rate.
In the dry etching process for a metal film having a Mo/Al/Mo structure, a process gas containing a fluorine-based gas such as sulfur hexafluoride is applied to a molybdenum film on the upper layer. On the other hand, a mixed gas of chlorine gas and boron trichloride gas is used as a process gas for the aluminum film, and a chlorine-containing gas process gas such as chlorine gas is used for the underlying molybdenum film (the above step of etching the substrate).
Next, the substrate G, on which the multilayer metal film has been subjected to the dry etching treatment using the chlorine-containing process gas, is stored in either one of the upper chamber 110 and the lower chamber 130 of the post-processing apparatus 100. Then, the process space S1 of the upper chamber 110 and the process space S2 of the lower chamber 130 are supplied with water vapor and plasma, thereby performing the above-described substrate cleaning process on the substrate G. By this substrate cleaning treatment, chlorine adhering to the resist film or the like patterned on the surface of the multilayer metal film is removed (the above step of cleaning the substrate).
In the dry etching method according to the present embodiment, the first stage 120 and the second stage 140 are also subjected to temperature adjustment control by the stage temperature adjustment unit 310 during post-processing. The temperature adjustment control is performed by the third opening ambient temperature adjustment unit 320 and the fourth opening ambient temperature adjustment unit 330 on the periphery of the third opening 164 and the periphery of the fourth opening 165 of the spacer 160, respectively. By this temperature adjustment control, the temperature (first temperature) in each of the processing space S1 of the upper chamber 110 and the processing space S2 of the lower chamber 130 is adjusted to be always not lower than the temperature of each of the water vapor source 211 and the water vapor source 241, and liquefaction of the supplied water vapor can be suppressed.
< effect of cleaning the substrate G by the substrate processing method of the present embodiment >
The effects of the substrate processing method according to the present embodiment, that is, the effects when the substrate is cleaned by the substrate processing apparatus according to the present embodiment will be described. Fig. 10 is a graph showing the results of evaluating the residual chlorine amount when the substrate G is cleaned by the post-processing apparatus 100 according to the present embodiment.
The substrate G is a substrate on which a metal film is formed. The substrate G is then subjected to a dry etching process using a chlorine-containing etching plasma, for example, an etching plasma of chlorine gas. Chlorine gas, chlorine compounds, and the like are attached to the substrate G. In the evaluation shown in fig. 10, the substrate subjected to the dry etching treatment with the chlorine-containing etching plasma was evaluated for cleaning ability.
Fig. 10 shows the results of measuring the chlorine residual amount in the areas Ra and Rb of fig. 7 for the substrate G. The region Ra is a region of the substrate G near the supply port for supplying the post-processing plasma. The region Rb is a region substantially in the center of the substrate G in plan view. The chlorine residual amount was obtained by eluting the regions Ra and Rb with ultrapure water, and the amount of chlorine contained in the eluted ultrapure water was determined by ion chromatography.
In fig. 10, the substrate G before cleaning is referred to as a reference example NT, the substrate G subjected to only the steam cleaning is referred to as a reference example SV, and the substrate G subjected to the water cleaning is referred to as a reference example SW. In fig. 10, a substrate G subjected to both the steam cleaning treatment and the plasma cleaning treatment was designated as example EX1. After the plasma cleaning process (step S30), the substrate G subjected to the steam cleaning process (step S20) was used as example EX2. After the steam cleaning process (step S20), the substrate G subjected to the plasma cleaning process (step S30) was taken as example EX3.
The left bar graph of each evaluation object is the chlorine residual amount in the region Ra. The bar graph on the right side of each evaluation item is the chlorine residual amount in the region Rb. The vertical axis represents the residual chlorine amount expressed in arbitrary units.
As can be seen from a comparison between the reference example NT and the reference example SV in fig. 10, the residual chlorine on the surface of the substrate G can be suppressed to a half level by performing the steam cleaning treatment. On the other hand, when the substrate G is washed with water (reference example SW) is compared with reference example SV, the chlorine residual amount increases with respect to reference example SW in reference example SV of the water vapor cleaning process alone.
According to the substrate processing method of the present embodiment, as shown in examples EX1, EX2 and EX3 of fig. 10, the chlorine residual amount can be reduced compared with the case of the steam cleaning treatment. In particular, by performing the steam cleaning treatment first and then performing the plasma cleaning treatment (example EX 3), the substrate G can be cleaned with the same cleaning ability as that of the water washing.
< influence on substrate when cleaning substrate >
The influence of the substrate G by cleaning the substrate G will be described. Fig. 11 is a view showing a substrate G1 after etching treatment in order to explain the influence on the substrate G when the substrate G is cleaned. Fig. 11 is a cross-sectional view of a portion of the substrate G1. The substrate G1 corresponds to the reference example NT in fig. 10.
The substrate G1 has an organic film PL, a metal film ML, and a photoresist PR in this order from the lower layer. In the substrate G, by performing the dry etching process, the metal film ML not covered with the photoresist PR is removed. Further, a portion of the organic film PL located under the removed metal film ML is removed.
The metal film ML is a multilayer metal film composed of a first metal film ML1, a second metal film ML2, and a third metal film ML 3. For example, the first metal film ML1 and the third metal film ML3 are each a titanium film, and the second metal film ML2 is an aluminum film. Namely, the metal film ML is a metal film of Ti/Al/Ti structure. The first metal film ML1 and the third metal film ML3 may be molybdenum films, and the second metal film ML2 may be aluminum films. Namely, the metal film ML is a metal film of Mo/Al/Mo construction.
In the case of the steam-only cleaning process (reference example SV) and the cleaning process by water-only cleaning (reference example SW), the shape of the substrate G was hardly changed. Therefore, the shape of the substrate G after only the steam cleaning process (reference example SV) and the shape of the substrate after only the cleaning process (reference example SW) by the water cleaning process are the shape of the substrate G1 shown in fig. 11.
On the other hand, the shape of the substrate will be described in relation to the case where the water vapor cleaning process and the plasma cleaning process are combined (each of the embodiment EX1, the embodiment EX2, and the embodiment EX 3). Fig. 12 is a view for explaining the influence on the substrate G when the substrate G is cleaned by the steam cleaning process and the plasma cleaning process. Fig. 12 is a view showing a substrate G2 after a cleaning process in which a steam cleaning process and a plasma cleaning process are combined.
In the substrate processing method of the present embodiment, since the cleaning process is performed by using plasma, the photoresist PR is etched, and as shown by a region Rc in fig. 12, a part of the metal film ML is exposed. On the other hand, the organic film PL is hardly affected.
On the other hand, for example, a case where plasma treatment is performed using carbon tetrafluoride and oxygen in a process chamber and post-treatment is performed will be described. Fig. 13 is a view for explaining the influence of the substrate G on cleaning in the processing chamber. Fig. 13 is a view showing a substrate Gz after post-processing in a processing chamber, for example.
When plasma is generated in the processing chamber and the substrate is cleaned, as shown by a substrate Gz in fig. 13, the photoresist PR is largely etched and the organic film PL is largely etched. In the region Rcz of fig. 13, the metal film is exposed. When the organic film PL is etched, undercut occurs in the region Rdz as indicated by arrow-headed line za. As described above, when cleaning is performed by plasma treatment in the processing chamber, the substrate G changes its shape. In addition, the extent of the cleaning may be larger in the residual chlorine than in the case of the steam-only cleaning treatment, and corrosion may occur.
As described above, according to the substrate processing method and the substrate processing apparatus of the present embodiment, the substrate subjected to the etching process by the chlorine-containing etching plasma can be cleaned at the same level as that of the water cleaning. Further, according to the substrate processing method and the substrate processing apparatus of the present embodiment, the cleaning of the substrate can be performed while suppressing the shape change of the substrate G. In particular, when the metal film is formed over the organic film, cleaning can be performed while suppressing a change in the shape of the organic film.
The upper chamber 110 and the lower chamber 130 are examples of the post-processing chamber.
The substrate processing method and the substrate processing apparatus according to the present embodiment of the present disclosure are all examples, and are not to be construed as limiting. The above-described embodiments can be modified and improved in various forms without departing from the spirit and scope of the appended claims. The matters described in the above embodiments may be combined with each other in a range where there is no contradiction, and other configurations may be adopted in a range where there is no contradiction.

Claims (10)

1. A method of processing a substrate, characterized by:
the substrate processing method performs post-processing of a substrate having a metal film, and performs etching processing on the metal film using chlorine-containing etching plasma,
the substrate processing method comprises the following steps:
a, feeding the substrate into a post-processing chamber for performing the post-processing, and placing the substrate on a placing table arranged in the post-processing chamber;
a step b of supplying water vapor to the post-processing chamber and performing a process using the water vapor on the substrate; and
and c, supplying post-treatment plasma to the post-treatment chamber from a post-treatment plasma source arranged outside the post-treatment chamber, and performing treatment by using the post-treatment plasma on the substrate.
2. The substrate processing method according to claim 1, wherein:
the metal film is formed over the organic film.
3. A substrate processing method according to claim 1 or 2, wherein:
the post-treatment plasma is generated from oxygen.
4. A substrate processing method according to any one of claims 1 to 3, wherein:
said step c is performed after said step b.
5. A substrate processing method according to any one of claims 1 to 3, wherein:
said step c is performed before said step b.
6. A substrate processing apparatus, characterized in that:
the substrate processing apparatus performs post-processing of a substrate having a metal film, and performs etching processing on the metal film using chlorine-containing etching plasma,
the substrate processing apparatus includes:
a post-processing chamber for performing the post-processing;
a water vapor source connected to the aftertreatment chamber via a water vapor supply path;
a post-processing plasma source connected to the post-processing chamber via a post-processing plasma supply path;
a stage disposed in the post-processing chamber for placing the substrate thereon; and
a control section for controlling the post-treatment,
The control unit controls the following steps:
step a, the substrate is sent into the post-processing chamber, and the substrate is placed on the placing table;
a step b of supplying steam from the steam source to the post-processing chamber through the steam supply path, and performing a process using the steam on the substrate; and
and c, supplying a post-processing plasma from the post-processing plasma source to the post-processing chamber through the post-processing plasma supply path, and performing a process using the post-processing plasma on the substrate.
7. The substrate processing apparatus of claim 6, wherein:
the metal film is formed over the organic film.
8. The substrate processing apparatus according to claim 6 or 7, wherein:
the post-treatment plasma is generated from oxygen.
9. The substrate processing apparatus according to any one of claims 6 to 8, wherein:
said step c is performed after said step b.
10. The substrate processing apparatus according to any one of claims 6 to 8, wherein:
said step c is performed before said step b.
CN202310209034.0A 2022-03-15 2023-03-07 Substrate processing method and substrate processing apparatus Pending CN116779437A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022-039847 2022-03-15
JP2022039847A JP2023134917A (en) 2022-03-15 2022-03-15 Substrate processing method and substrate processing apparatus

Publications (1)

Publication Number Publication Date
CN116779437A true CN116779437A (en) 2023-09-19

Family

ID=87988403

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310209034.0A Pending CN116779437A (en) 2022-03-15 2023-03-07 Substrate processing method and substrate processing apparatus

Country Status (4)

Country Link
JP (1) JP2023134917A (en)
KR (1) KR20230134978A (en)
CN (1) CN116779437A (en)
TW (1) TW202414573A (en)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7418301B2 (en) 2020-01-07 2024-01-19 東京エレクトロン株式会社 Steam treatment equipment, steam treatment method, substrate treatment system, and dry etching method

Also Published As

Publication number Publication date
JP2023134917A (en) 2023-09-28
TW202414573A (en) 2024-04-01
KR20230134978A (en) 2023-09-22

Similar Documents

Publication Publication Date Title
US20200312670A1 (en) Atomic layer etching methods and apparatus
US9502242B2 (en) Indium gallium zinc oxide layers for thin film transistors
JP2009062604A (en) Vacuum treatment system, and method for carrying substrate
JP2006170373A (en) Gate valve device, treatment system and seal member replacing method
US20100068375A1 (en) Evaporating apparatus and method for operating the same
JP2014236055A (en) Etching method
US20130239889A1 (en) Valve purge assembly for semiconductor manufacturing tools
JP2020053448A (en) Etching method, etching apparatus, and storage medium
JP2013136839A (en) Vacuum processing system
TW201812844A (en) Multi chamber processing system with shared vacuum systems
US20070254112A1 (en) Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
KR20140035832A (en) Etching apparatus and etching method
JP2006270030A (en) Plasma treatment method and post-treatment method
US20180105933A1 (en) Substrate processing apparatus and method for cleaning chamber
JP7418301B2 (en) Steam treatment equipment, steam treatment method, substrate treatment system, and dry etching method
CN111599712B (en) Steam treatment device and steam treatment method
CN116779437A (en) Substrate processing method and substrate processing apparatus
WO2005001925A1 (en) Vacuum processing device operating method
TW202041698A (en) Methods for cleaning a vacuum system, method for vacuum processing of a substrate, and apparatuses for vacuum processing a substrate
KR102481562B1 (en) Steam processing device and steam processing method, substrate processing system and dry etching method
US10217627B2 (en) Methods of non-destructive post tungsten etch residue removal
KR20080054759A (en) Apparatus and method for treating substrate
JP6417916B2 (en) Substrate transport method, substrate processing apparatus, and storage medium
JP7486398B2 (en) Etching method and etching apparatus
US20210391537A1 (en) Method for cleaning a vacuum system, method for vacuum processing of a substrate, and apparatus for vacuum processing a substrate

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination