CN116635972A - 用于控制电子密度分布的方法和设备 - Google Patents

用于控制电子密度分布的方法和设备 Download PDF

Info

Publication number
CN116635972A
CN116635972A CN202180086080.5A CN202180086080A CN116635972A CN 116635972 A CN116635972 A CN 116635972A CN 202180086080 A CN202180086080 A CN 202180086080A CN 116635972 A CN116635972 A CN 116635972A
Authority
CN
China
Prior art keywords
electrons
cavity
electron
rays
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180086080.5A
Other languages
English (en)
Inventor
P·W·斯摩奥伦堡
O·J·卢伊滕
B·H·斯卡普
J·G·H·弗朗森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN116635972A publication Critical patent/CN116635972A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/08Deviation, concentration or focusing of the beam by electric or magnetic means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J31/00Cathode ray tubes; Electron beam tubes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/08Arrangements for injecting particles into orbits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/08Arrangements for injecting particles into orbits
    • H05H2007/081Sources
    • H05H2007/084Electron sources
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/08Arrangements for injecting particles into orbits
    • H05H2007/087Arrangements for injecting particles into orbits by magnetic means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • X-Ray Techniques (AREA)
  • Discharge Lamp (AREA)

Abstract

一种用于控制由电子源提供的用于硬X射线、软X射线和/或极紫外线的产生的电子的密度分布的方法,所述方法包括:在空腔内使用离子化激光从超冷激发原子的图案产生多个电子,其中,所述电子具有由激发原子的所述图案和所述离子化激光中的至少一个确定的密度分布;和使用非静态加速度曲线将所述电子加速至所述空腔之外,其中,所述加速度曲线控制所述电子的在所述电子离开所述空腔时控制所述电子的所述密度分布。

Description

用于控制电子密度分布的方法和设备
相关申请的交叉引用
本申请要求于2020年12月21日递交的欧洲申请20216083.4的优先权,并且所述欧洲申请的全部内容通过引用并入本文中。
技术领域
本发明涉及用于控制用于涉及辐射产生的电子密度分布的方法、组件和设备。特别地,本发明涉及在电子离开用于产生硬X射线、软X射线和/或极紫外线的空腔时对电子的密度分布的控制。
背景技术
光刻设备是被构造成将期望的图案施加至衬底上的机器。光刻设备可以用于例如集成电路(IC)的制造中。光刻设备可以例如将图案形成装置(例如,掩模)处的图案(也通常称为“设计布局”或“设计”)投影至设置在衬底(例如,晶片)上的辐射敏感材料(抗蚀剂)层上。
为了将图案投影到衬底上,光刻设备可以使用电磁辐射。这种辐射的波长确定可以形成在衬底上的特征的最小尺寸。当前使用的典型波长是365nm(i线)、248nm、193nm和13.5nm。相比于使用例如具有193nm波长的辐射的光刻设备,使用具有介于4nm至20nm范围内的波长(例如,6.7nm或13.5nm)的极紫外(EUV)辐射的光刻设备可以用于在衬底上形成较小特征。
低k1光刻可以用于处理尺寸小于光刻设备的典型分辨率极限的特征。在这样的过程中,可以将分辨率公式表达为CD=k1×λ/NA,其中,λ为所采用的辐射的波长,NA是光刻设备中的投影光学器件的数值孔径,CD是“临界尺寸”(通常是所印制的最小特征尺寸,但在这种情况下是半节距),并且k1是经验分辨率因子。通常,k1越小,则越难以在衬底上再现类似于由电路设计者规划的形状和尺寸以实现特定电功能性和性能的图案。为了克服这些困难,可以将复杂的微调步骤应用于光刻投影设备和/或设计布局。这些步骤包括例如(但不限于)NA的优化、自定义照射方案、使用相移图案形成装置、设计布局的各种优化(诸如设计布局的光学邻近效应校正(OPC,有时也被称为“光学和过程校正”)),或通常定义为“分辨率增强技术”(RET)的其它方法。替代地,用于控制光刻设备的稳定性的严格控制回路可以用于改善低k1下图案的再现。
量测工具可以用于测量和检查使用光刻设备产生的图案和器件。由于光刻过程中的图案尺寸,对使用短波长探测辐射进行操作的高通量光学量测工具的需求增加。高通量可能限制在光刻过程期间检查的时间量和成本。需要短波长探测辐射以能够实现所需分辨率和穿透深度,这两者均是依赖于波长的。常规工具(诸如,使用可见波长的光学量测工具)可能不足以分辨经图案化的光刻结构。短波长工具可以包括例如EUV和X射线辐射,包括可以实现更高分辨率的软X射线和硬X射线辐射。
较短波长辐射源可以解决分辨率的挑战。然而,缺乏短波长的高亮度辐射源,其是大批量制造应用中量测所必需的。本申请通过描述用于实现增大的亮度辐射源的方法、组件和设备来解决这种问题。
发明内容
本发明的目标是提供一种用于控制由电子源提供的用于硬X射线、软X射线和/或极紫外线的产生的电子的密度分布的方法。所述方法包括在空腔内使用离子化激光从超冷激发原子的图案产生多个电子,其中,所述电子具有由激发原子的所述图案和所述离子化激光中的至少一个确定的密度分布。使用非静态加速度曲线将所述电子加速至所述空腔之外。所述加速度曲线控制所述电子的在所述电子离开所述空腔时的所述密度分布。
可选地,所述加速度曲线可以控制所述空腔中的所述电子的速度,使得在所述电子离开所述空腔时所述电子的所述速度大致相等。
可选地,电子的所述密度分布可以包括多个电子束。
可选地,所述加速度曲线可以减少离开所述空腔的电子的所述密度分布中的啁啾。
可选地,所述加速可以包括非静态电磁场。
可选地,所述非静态电磁场可以包括随时间变化的分量。
可选地,所述非静态电磁场可以包括随在所述空腔内的位置而变化的分量。
可选地,所述电子密度分布可以与超冷激发原子的所述图案匹配。
可选地,所述电子密度分布可以由结构化离子化激光确定。
可选地,所述空腔可以是共振微波结构。
可选地,可以使用逆康普顿散射实现所述硬X射线、软X射线和/或极紫外线的产生。
根据本公开的另一方面,提供一种用于控制由电子源提供的用于硬X射线、软X射线和/或极紫外线的产生的电子的密度分布的设备,其中,所述设备被配置成执行如上文所描述的方法。
根据本公开的另一方面,提供一种辐射源,包括如上文所阐述的设备。
根据本公开的另一方面,提供一种量测设备,包括如上文所阐述的设备。
根据本公开的另一方面,提供一种光刻单元,包括如上文所阐述的设备。
根据本公开的另一方面,提供一种压缩包括用于相干硬X射线、软X射线和/或极紫外线的产生的电子束的密度分布的方法。所述方法包括接收具有密度分布的多个电子束和压缩所述多个电子束,使得所述电子束之间的沿电子束的传播方向的距离与待产生的硬X射线、软X射线和/或极紫外辐射的波长一致。
可选地,可以使用回波增强型谐波产生来压缩电子束。
可选地,可以使用电子光学器件来压缩电子束。
可选地,可以使用逆康普顿散射实现所述相干硬X射线、软X射线和/或极紫外线的产生。
根据本公开的另一方面,提供一种压缩包括用于相干硬X射线、软X射线和/或极紫外线的产生的电子束的密度分布的组件。所述组件被配置成执行如上文所描述的压缩密度分布的方法。
根据本公开的另一方面,提供一种产生用于相干硬X射线、软X射线和/或极紫外线的产生的回波增强型谐波的方法。所述方法包括接收多个电子束,其中,每个束包括动量散度。由色散段提供所述电子,从而沿传播方向在相位空间中引入偏斜。使用光学调制器将动量调制施加至沿传播方向为周期性的电子束;使电子传播通过第二色散段,从而沿传播方向在相空间中引入第二偏斜。第二偏斜修改所述电子束的被调制的动量,以提供与所接收的多个束相比沿传播方向具有减小的间距的多个束。
根据本公开的另一方面,提供一种产生阿秒(attosecond)硬X射线、软X射线和/或极紫外脉冲的方法。所述方法包括:获得多个电子束;在所述多个束之间的间隔中引入啁啾;以及用反向传播的啁啾式辐射脉冲来辐照被啁啾的所述束以产生硬X射线、软X射线和/或极紫外辐射。所述束的间隔啁啾根据共振条件而与所述辐射脉冲的啁啾匹配,由此产生阿秒硬X射线、软X射线和/或极紫外脉冲。
可选地,所述束中的间隔啁啾和所述辐射脉冲中的啁啾可以是正的。
可选地,可以设置动能啁啾以控制待产生的硬X射线、软X射线和/或极紫外辐射的带宽。
可选地,在所述多个束之间的间隔上引入啁啾可以包括控制电子束的动能和电子束的节距中的至少一个的纵向改变速率。
附图说明
现将参考随附示意性附图,仅借助于示例来描述本发明的实施例,在随附示意性附图中:
-图1描绘光刻设备的示意性概略图;
-图2描绘光刻单元的示意性概略图;
-图3描绘整体光刻的示意性表示,其表示优化半导体制造的三种关键技术之间的协作;
-图4示意性图示散射测量设备;
-图5示意性图示透射散射测量设备;
-图6描绘示例性的逆康普顿散射硬X射线、软X射线和/或极紫外辐射源的示意性表示;
-图7的(a)至(d)描绘产生超冷电子脉冲的方法中的步骤的示意性表示;
-图8描绘用于将电子脉冲加速至空腔之外的两个电极的示例性设置;
-图9描绘控制电子密度分布或硬X射线、软X射线和/或极紫外线产生的方法中的步骤的流程图;
-图10的(a)至(c)描绘通过非静态加速度曲线而将电子脉冲加速至空腔之外的示例模拟的曲线图;
-图11的(a)、(b)描绘随机和聚束电子的示意性表示;
-图12描绘压缩密度分布的方法中的步骤的流程图,所述密度分布包括用于相干硬X射线、软X射线和/或极紫外线产生的电子束;
-图13描绘表示电子脉冲压缩的束线变换中的步骤的示例性的相空间绘制图;
-图14描绘纵向相空间中的水平和竖直偏斜的示意性表示;
-图15的(a)至(d)描绘使用回波增强型谐波产生的电子脉冲压缩的步骤的示意性表示;
-图16描绘图示沿包括多个束的经压缩的电子脉冲的传播方向的示例性的电子密度的曲线图;
-图17描绘用于使用光学调制器的回波增强型谐波产生压缩的示例性的粒子追踪模拟;以及
-图18描绘动能、束间隔及其纵向导数的相空间中的示例表示。
具体实施方式
在本文献中,术语“辐射”和“束”用于涵盖所有类型的电磁辐射和粒子辐射,包括紫外辐射(例如,波长是365nm、248nm、193nm、157nm或126nm)、极紫外辐射(EUV,例如具有在约5nm至100nm的范围内的波长)、X射线辐射、电子束辐射和其它粒子辐射。
如本文中所所采用的术语“掩模版”、“掩模”或“图案形成装置”可以广义地解释为是指可以用于向入射辐射束赋予经图案化的横截面的一般图案形成装置,所述经图案化的横截面对应于待在衬底的目标部分中产生的图案。在这样的情境下,也可以使用术语“光阀”。除经典掩模(透射或反射、二元、相移、混合型等)外,其它这样的图案形成装置的示例包括可编程反射镜阵列和可编程LCD阵列。
图1示意性地描绘光刻设备LA。光刻设备LA包括:照射系统(也被称为照射器)IL,所述照射系统被配置成调节辐射束B(例如,UV辐射、DUV辐射、EUV辐射或X射线辐射);掩模支撑件(例如,掩模台)T,所述掩模支撑件被构造成支撑图案形成装置(例如,掩模)MA且连接至配置成根据某些参数来准确地定位图案形成装置MA的第一定位器PM;衬底支撑件(例如,晶片台)WT,所述衬底支撑件被构造成保持衬底(例如,涂覆有抗蚀剂的晶片)W且连接至配置成根据某些参数来准确地定位衬底支撑件的第二定位器PW;以及投影系统(例如,折射型投影透镜系统)PS,所述投影系统被配置成将由图案形成装置MA赋予至辐射束B的图案投影至衬底W的目标部分C(例如,包括一个或更多个管芯)上。
在操作中,照射系统IL例如经由束传递系统BD从辐射源SO接收辐射束。照射系统IL可以包括各种类型的光学元件,诸如折射、反射、衍射、磁性、电磁、静电和/或其它类型的光学元件或其任何组合以引导、成形和/或控制辐射。照射器IL可以用于调节辐射束B,以使得在辐射束的在图案形成装置MA的平面处的横截面中具有期望的空间和角强度分布。
本文中所使用的术语“投影系统”PS应被广义地解释为涵盖适于所使用的曝光辐射和/或适于诸如浸没液体的使用或真空的使用之类的其它因素的各种类型的投影系统,包括折射、反射、衍射、反射折射、合成、磁性、电磁和/或静电型光学系统,或其任何组合。可以认为本文中对术语“投影透镜”的任何使用都与更上位的术语“投影系统”PS同义。
光刻设备LA可以属于如下类型,其中,衬底的至少一部分可以由具有相对较高折射率的液体(例如,水)覆盖,以便填充投影系统PS与衬底W之间的空间,这也被称为浸没光刻术。在以全文引用的方式并入本文中的US6952253中给出关于浸没技术的更多信息。
光刻设备LA也可以属于具有两个或更多个衬底支撑件WT(也称为“双平台”)的类型。在这样的“多平台”机器中,可以并行地使用衬底支撑件WT,和/或可以对位于衬底支撑件WT中的一个衬底支撑件上的衬底W进行准备衬底W的后续曝光的步骤,同时将另一衬底支撑件WT上的另一衬底W用于在所述另一衬底W上曝光图案。
除衬底支撑件WT外,光刻设备LA也可以包括测量平台。测量平台被布置成保持传感器和/或清洁装置。传感器可以被布置成测量投影系统PS的特性或辐射束B的特性。测量平台可以保持多个传感器。清洁装置可以被布置成清洁光刻设备的部分,例如投影系统PS的一部分或提供浸没液体的系统的一部分。当衬底支撑件WT远离投影系统PS时,测量平台可以在投影系统PS下方移动。
在操作中,辐射束B入射到被保持在掩模支撑件T上的图案形成装置(例如,掩模)MA上,并且由存在于图案形成装置MA上的图案(设计布局)进行图案化。横穿掩模MA后,辐射束B通过投影系统PS,所述投影系统PS将束聚焦于衬底W的目标部分C上。借助于第二定位器PW和位置测量系统IF,衬底支撑件WT可以准确地移动,例如,以便在辐射束B的路径中在聚焦和对准位置处定位不同的目标部分C。类似地,第一定位器PM和可能的另一位置传感器(其未在图1中被明确地描绘)可以用于相对于辐射束B的路径准确地定位图案形成装置MA。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA与衬底W。虽然如所图示的衬底对准标记P1、P2占据专用目标部分,但其可以定位在目标部分之间的空间中。衬底对准标记P1、P2位于目标部分C之间时被称为划线对准标记。
如图2中示出的,光刻设备LA可以形成光刻单元LC(有时也被称为光刻单元或(光刻)簇)的部分,光刻单元通常也包括对衬底W执行曝光前和曝光后过程的设备。常规地,这些设备包括沉积抗蚀剂层的旋涂器SC、显影曝光的抗蚀剂的显影器DE、激冷板CH和焙烤板BK(例如用于调节衬底W的温度,例如用于调节抗蚀剂层中的溶剂)。衬底输送装置或机器人RO从输入/输出端口I/O1、I/O2拾取衬底W,在不同过程设备之间移动衬底W,并且将衬底W传递至光刻设备LA的进料台LB。光刻单元中通常也统称为轨道或涂覆显影系统的装置可以处于轨道或涂覆显影系统控制单元TCU的控制下,所述轨道或涂覆显影系统控制单元TCU自身可以由管理控制系统SCS控制,所述管理控制系统SCS也可以例如经由光刻控制单元LACU控制光刻设备LA。
在光刻过程中,期望频繁地对所产生的结构进行测量,例如以用于过程控制和验证。用于进行这种测量的工具可以被称为量测工具MT。用于进行这样的测量的不同类型的量测工具MT是众所周知的,包括扫描电子显微镜或各种形式的散射仪量测工具MT。散射仪是多功能仪器,其允许通过在光瞳或与散射仪的物镜的光瞳共轭的平面中或附近具有传感器来测量光刻过程的参数,测量通常被称为基于光瞳的测量,或通过在像平面或与像平面共轭的平面中或附近具有传感器来测量光刻过程的参数,在这种情况下测量通常被称为基于图像或场的测量。以全文引用的方式并入本文中的专利申请US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中进一步描述这样的散射仪和相关联的测量技术。前述散射仪可以使用来自硬X射线(HXR)、软X射线(SXR)、极紫外(EUV)、可见光至近红外(IR)和IR波长范围的光来测量光栅。在辐射为硬X射线或软X射线的情况下,前述散射仪可以可选地为小角度X射线散射量测工具。
为了正确且一致地曝光由光刻设备LA曝光的衬底W,期望检查衬底以测量经图案化的结构的性质,诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)、结构的形状等。为此目的,可以在光刻单元LC中包括检查工具和/或量测工具(未示出)。如果检测到误差,则可以例如对后续衬底的曝光或对待对衬底W执行的其它处理步骤进行调整,尤其在同一批量或批次的其它衬底W仍待曝光或处理之前进行检查的情况下。
也可以被称为量测设备的检查设备用于确定衬底W的性质,并且特别地,确定不同衬底W的性质如何变化或与同一衬底W的不同层相关联的性质在层与层间如何变化。替代地,检查设备被构造成识别衬底W上的缺陷,并且可以例如为光刻单元LC的部分,或可以集成至光刻设备LA中,或甚至可以是单独的装置。检查设备可以测量潜像(曝光之后在抗蚀剂层中的图像)上的特性,或半潜像(曝光后焙烤步骤PEB之后在抗蚀剂层中的图像)上的特性,或经显影的抗蚀剂图像(其中抗蚀剂的曝光部分或未曝光部分已移除)上的特性,或甚至经蚀刻的图像(在诸如蚀刻的图案转印步骤之后)上的特性。
在第一实施例中,散射仪MT是角分辨散射仪。在这样的散射仪中,重构方法可以应用于所测量的信号以重构或计算光栅的性质。这种重构可以例如由模拟散射辐射与目标结构的数学模型的相互作用且比较模拟结果与测量的结果而产生。调整数学模型的参数,直到所模拟的相互作用产生类似于从真实目标所观测的衍射图案的衍射图案为止。
在第二实施例中,散射仪MT是光谱散射仪MT。在这样的光谱散射仪MT中,由辐射源发射的辐射被引导至目标上且来自目标的反射、透射或散射辐射被引导至光谱仪检测器,所述光谱仪检查器测量镜面反射辐射的光谱(即,随波长而变的强度的测量)。根据这种数据,可以例如通过严格耦合波分析和非线性回归或通过与模拟光谱库进行比较来重构产生所检测的光谱的目标的结构或轮廓。
在第三实施例中,散射仪MT是椭圆测量散射仪。椭圆测量散射仪允许通过测量针对每个偏振状态的散射或透射辐射来确定光刻过程的参数。这种量测设备通过在量测设备的照射段中使用例如适当偏振滤波器来发射偏振光(诸如,线性、圆形或椭圆)。适于量测设备的源也可以提供偏振辐射。以全文引用的方式并入本文中的美国专利申请11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110和13/891,410中描述现有椭圆测量散射仪的各个实施例。
在散射仪MT的一个实施例中,散射仪MT适用于通过测量反射光谱和/或检测配置中的不对称性(所述不对称性与重叠的范围有关)来测量两个未对准光栅或周期性结构的重叠。可以将两个(可能是叠置的)光栅结构施加在两个不同层(不必是连续层)中,并且所述两个光栅结构可以形成为处于晶片上大致相同的位置。散射仪可以具有如例如在共同拥有的专利申请EP1628164A中所描述的对称检测配置,使得任何不对称性可以清楚地识别。这提供用于测量光栅中的未对准的直接的方式。可以在以全文引用方式并入本文中的PCT专利申请公开号WO 201I/012624或美国专利申请号US 20160161863中找到关于包括作为目标的周期性结构的两个层之间的重叠误差经由周期性结构的不对称性来测量的另外的示例。
其它所关注的参数可以是焦距和剂量。可以通过如以全文引用方式并入本文中的美国专利申请US2011-0249244中所描述的散射测量(或替代地通过扫描电子显微法)同时确定焦距和剂量。可以使用具有针对焦距能量矩阵(FEM,也被称为焦距曝光矩阵)中的每个点的临界尺寸和侧壁角测量结果的唯一组合的单个结构。如果可以获得临界尺寸和侧壁角的这些唯一组合,则可以从这些测量结果唯一地确定焦距和剂量。
量测目标可以是通过光刻过程主要在抗蚀剂中形成且也在例如蚀刻过程之后形成的复合光栅全体。光栅中的结构的节距和线宽可以在很大程度上依赖于测量光学器件(特别地,光学器件的NA)以能够捕获来自量测目标的衍射阶。如先前所指示的,衍射信号可以用于确定两个层之间的相移(也称为“重叠”)或可以用于重构如由光刻过程产生的原始光栅的至少一部分。这种重构可以用于提供光刻过程的品质的引导,并且可以用于控制光刻过程的至少一部分。目标可以具有较小子分段,所述子分段被配置成模仿目标中的设计布局的功能性部分的尺寸。归因于这种子分段,目标将表现得更类似于设计布局的功能性部分,使得总体过程参数测量更优选地类似于设计布局的功能性部分。可以在欠填充模式中或在过填充模式中测量目标。在欠填充模式下,测量束产生小于总体目标的斑。在过填充模式中,测量束产生大于总体目标的斑。在这样的过填充模式中,也可能同时测量不同的目标,由此同时确定不同处理参数。
使用特定目标的光刻参数的总体测量品质至少部分通过用于测量这种光刻参数的测量选配方案来确定。术语“衬底测量选配方案”可以包括测量自身的一个或更多个参数、所测量的一个或更多个图案的一个或更多个参数或这两者。例如,如果用于衬底测量选配方案中的测量为基于衍射的光学测量,则测量的参数中的一个或更多个参数可以包括辐射的波长、辐射的偏振、辐射相对于衬底的入射角、辐射相对于衬底上的图案的方向等。用于选择测量选配方案的准则中的一个可以例如为测量参数中的一个对于处理变化的灵敏度。更多示例在以全文引用的方式并入本文中的美国专利申请US2016-0161863和已公开的美国专利申请US 2016/0370717A1中描述。
光刻设备LA中的图案化过程可以是处理中的最关键步骤之一,其需要衬底W上的结构的尺寸标定和放置的高准确度。为了确保这种高准确度,可以将三个系统组合于图3中示意性描绘的所谓的“整体”控制环境中。这些系统中的一个系统是光刻设备LA,所述光刻设备(实际上)连接至量测工具MT(第二系统)且连接至计算机系统CL(第三系统)。这种“整体”环境的关键在于优化这三个系统之间的协作以增强总体过程窗口且提供严格控制回路,以确保由光刻设备LA执行的图案化保持在过程窗口内。过程窗口限定过程参数(例如,剂量、焦距、重叠)的范围,在所述过程参数的范围内特定制造过程产生所限定的结果(例如,功能半导体器件)-可以的是,在所述过程参数的范围内,允许光刻过程或图案化过程中的过程参数变化。
计算机系统CL可以使用待图案化的设计布局(的部分)以预测使用哪种分辨率增强技术,且执行计算光刻模拟和计算以确定哪种掩模布局和光刻设备设置实现图案化过程的最大总体过程窗口(在图3中通过第一标尺SC1中的双箭头描绘)。分辨率增强技术可以被布置成匹配光刻设备LA的图案化可能性。计算机系统CL也可以用于检测在过程窗口内光刻设备LA当前正在何处进行操作(例如,使用来自量测工具MET的输入)以预测归因于例如次优处理是否可以存在缺陷(在图3中通过第二标尺SC2中的指向“0”的箭头描绘)。
量测工具MT可以将输入提供至计算机系统CL以实现准确模拟和预测,并且可以将反馈提供至光刻设备LA以识别例如光刻设备LA的校准状态中的可能漂移(在图3中通过第三标尺SC3中的多个箭头描绘)。
图4中描绘量测设备的一个示例,诸如散射仪,其可以包括将辐射5投影至衬底W上的宽带(例如,白光)辐射投影仪2。反射或散射辐射10传递至光谱仪检测器4,所述光谱仪检测器测量镜面反射辐射的光谱6(即,作为波长λ的函数的强度I的测量结果)。根据该数据,可以通过处理单元PU,例如,通过严格耦合波分析和非线性回归,或通过与图4的底部处所示出的模拟光谱库的比较,重构产生所检测的光谱的结构或轮廓8。通常,对于重构,结构的一般形式是已知的,并且从用来制造结构的过程的知识来假定一些参数,从而仅留下结构的几个参数来被从散射测量数据确定。这种散射仪可以被配置成正入射散射仪或斜入射散射仪。
图5中描绘量测设备的示例的透射形式,诸如图4中示出的散射仪。透射辐射11被传递至光谱仪检测器4,所述光谱仪检测器测量如针对图4所论述的光谱6。这种散射仪可以被配置成正入射散射仪或斜入射散射仪。可选地,使用波长<1nm,可选地波长<0.1nm、可选地波长<0.01nm的硬X射线辐射的透射形式。
作为对光学量测方法的替代方案,也已考虑使用硬X射线、软X射线或EUV辐射,例如具有以下波长范围中的至少一个的辐射:波长<0.01nm、波长<0.1nm、波长<1nm、波长在0.01nm与100nm之间、波长在0.01nm与50nm之间、波长在1nm与50nm之间、波长在1nm与20nm之间、波长在5nm与20nm之间和波长在10nm与20nm之间。量测工具在上文所呈现的波长范围中的一个中运行的一个示例是透射型小角度X射线散射(如US 2007224518A中的T-SAXS,该文献的内容以全文引用的方式并入本文中)。Lemaillet等人在2013的国际光学工程学会会议论文集(Proc.of SPIE)的第8681卷中的“Intercomparison between opticaland X-ray scatterometry measurements of FinFET structures”中论述使用T-SAXS进行的轮廓(CD)测量。应注意,在以全文引用的方式并入本文中的美国专利公开号2019/003988A1和美国专利公开号2019/215940A1中描述了激光产生等离子体(LPP)X射线源的使用。在掠入射下使用X射线(GI-XRS)和极紫外(EUV)辐射的反射测量术可以用于测量衬底上的膜和叠置层的性质。在一般反射测量术领域内,可以应用测角和/或光谱技术。在测角术中,可以测量在不同入射角下的反射束的变化。另一方面,光谱反射测量术测量在给定角度下反射的波长的光谱(使用宽带辐射)。例如,EUV反射测量术已在用于EUV光刻中的掩模版(图案形成装置)的制造之前用于掩模基底或坯料(blank)的检查。
可能的是,适用范围使例如硬X射线、软X射线或EUV域中的波长的使用是不足的。已公开的专利申请US 20130304424A1和US2014019097A1(Bakeman等人/KLA)描述混合量测技术,其中,将使用X射线进行的测量和利用在120nm与2000nm的范围内的波长的光学测量组合在一起以获得诸如CD之类的参数的测量结果。通过经由一个或更多个共同的耦合和X射线数学模型和光学数学模型来获得CD测量结果。所列举的美国专利申请的内容以全文引用的方式并入本文中。
可以提供用于测量使用光刻图案形成设备产生的结构的许多不同的形式的量测工具MT。量测工具MT可以使用电磁辐射来查询结构。辐射的性质(例如,波长、带宽、功率)可能影响工具的不同测量特性,其中,较短的波长通常允许增加的分辨率。辐射波长对量测工具可实现的分辨率有影响。因此,为了能够利用具有小尺寸的特征来测量结构,具有短波长辐射源的量测工具MT是优选的。
辐射波长可能影响测量特性的另一方式是穿透深度和待检查材料在辐射波长下的透明度/不透明度。依赖于不透明度和/或穿透深度,辐射可以用于透射型或反射型的测量。测量的类型可能影响是否获得关于结构/衬底的表面和/或块体内部的信息。因此,当选择用于量测工具的辐射波长时,穿透深度和不透明度是待考虑的另一要素。
为了实现经光刻图案化的结构的测量的较高分辨率,具有短波长的量测工具MT是优选的。这可以包括短于可见波长的波长,例如,在电磁波谱的UV、EUV和X射线部分中的波长。诸如透射小角度X射线散射(TSAXS)之类的硬X射线方法(HXR)利用高分辨率和高穿透深度的硬X射线(波长<0.1nm),并且因此可以以透射进行操作。另一方面,软X射线和EUV(波长>0.1nm)不穿透目标,而是可以引发待探测的材料中的丰富的光学响应。这可以归因于许多半导体材料的光学性质,并且归因于结构的尺寸与探测波长相当。因此,EUV和/或软X射线量测工具MT可以以反射进行操作,例如通过成像或通过分析来自经光刻图案化的结构的衍射图案。软X射线可以具有在0.1nm至1nm范围内的波长。
对于硬X射线、软X射线和EUV辐射,可以归因于在所需波长下不具有可用高亮度辐射源而限制高量制造(HVM)应用中的应用。在硬X射线的情况下,工业应用中常用的源包括X射线管。包括进阶X射线管(例如,基于液态金属阳极或旋转阳极)的X射线管可以是相对能够被负担得起且紧凑的,但可能缺乏HVM应用期望的亮度。当前存在诸如同步加速器光源(SLS)和X射线自由电子激光(XFEL)之类的高亮度X射线源,但其尺寸(>100m)和高成本(多于1亿欧元)使得其对于量测应用是过大的且昂贵的。类似地,缺少足够亮的EUV和软X射线辐射源的可用性。
具有提供高亮度X射线或EUV的潜力的有前景的类别的替代源为逆康普顿散射(ICS)源。图6图示示例性的ICS源400的主要部件的示意性概略图。在(a)中,脉冲电子源402向电子加速器404提供电子脉冲。经加速的电子被加速,接着由脉冲激光器406辐照以用于产生被发射的辐射。被发射的辐射可以包括在电磁波谱的极紫外、软X射线和/或硬X射线部分中的波长。发射的辐射可以包括在以下范围中的一个或更多个中的波长:波长小于1nm、波长小于0.1nm、波长小于0.01nm、波长为从0.01nm至100nm、波长为从0.1nm至100nm、波长为从0.1nm至50nm、波长为从1nm至50nm和波长为从10nm至20nm。现将更详细地描述ICS源的操作。
脉冲电子源402可以是光发射源,其中,可以通过将激光脉冲(其可以是UV激光脉冲)发射至阴极上而从所述阴极射出电子脉冲。来自脉冲激光器406的激光束可以具有传播方向,该传播方向包括是电子脉冲的传播方向的反向传播的分量。替代地或另外,脉冲激光器406的所述传播方向可以具有与电子脉冲的传播方向垂直和/或共移动的分量。反向传播激光脉冲可以与电子脉冲碰撞。电子可以以接近光速的速度行进。归因于相对论多普勒效应,从电子反弹的激光光子可以转换成发射辐射(例如,X射线光子),其将用作下文中的示例。这可以构成在与电子相同的方向上行进的窄X射线束。目前,已由ICS源证实的亮度仍为大约109至1011光子/s/mm2/mrad2/0.1%BW。这种亮度低于针对旨在用于HVM设置的量测应用的亮度的若干数量级。HMV X射线量测设置可能需要具有至少1012至1014光子/s/mm2/mrad2/0.1%BW的亮度的源,其中,所需亮度依赖于特定应用。上文所描述的ICS源的低亮度可以部分地归因于由单独的电子产生的X射线非相干地相加的事实。非相干相加意味着常规ICS源400的亮度与电子的数目N以线性方式成比例。相比之下,如果X射线光子将相干地相加,则亮度将以二次方缩放至与N2成比例的电子的数目。如在本说明书中所描述的,这例如在单独的电子发射同相的X射线光子以使得其强度将相干地增加的情况下是可能实现的。
一种用于在ICS源中实现X射线光子的相干发射的可能方法使用超冷电子源(UCES),超冷电子源允许ICS源的发射亮度以多个数量级增强。在所述设置中,使用超冷电子源代替常规光发射电子源。这在图6的图像(b)中被图示,其中,ICS源408具有超冷电子源410。使用UCES的关键益处在于,它可以允许调适所产生的电子脉冲中的电子密度分布,其也被称为电子云。在图6的(b)中,当电子离开UCES时,密度分布被控制以将电子聚焦于一系列紧密间隔开的束412中。在以引用的方式并入本文中的国际专利申请WO2020/089454和Franssen,J.G.H.等人在arXiv预印本arXiv:1905.04031(2019)中的“From ultracoldelectrons to coherent soft X-rays”中更详细地描述了如何实现分束。
一种可以使所产生的X射线光子相干地相加的方式可以是使脉冲中的电子束之间的间距近似等于所产生的X射线辐射的波长的方式。这可以例如在电子脉冲到达用于X射线产生的激光脉冲416之前部分地通过加速器414来实现。如上文提及的,这种相干相加可以意味着ICS源的亮度的相当大部分变得与N2成比例,从而导致所产生的X射线的亮度增加若干数量级。这种亮度增加可以产生适于如在HVM光刻量测工具MT中的较高亮度应用的源。UCES驱动式ICS源的另一益处可以是,其产生完全空间相干的X射线脉冲,这对于一些应用是重要性质。
解释可以如何实现相干X射线产生助于理解将相对于图7解释的超冷电子源的工作原理。在图像(a)中,可以产生超冷原子云500。该云可以产生于被称为空腔501的区域中。空腔501可以例如包括磁光陷阱,这是涉及激光束与磁场的组合的原子物理学中的众所周知的技术。在一个实施例中,空腔501为微波空腔或射频(RF)空腔,其为特殊类型的共振器,由封闭(或基本封闭)的金属结构组成,所述金属结构将电磁场限制于光谱的微波区内。所述结构为空心的或填充有介电材料。微波在空腔壁之间来回反射。在空腔的共振频率下,微波增强以在空腔中形成驻波。因此,空腔功能类似于乐器中的风琴管或音箱,优选以一系列频率(是其共振频率)振荡。RF空腔也可以以通过施加加速电压来操纵通过该空腔的带电粒子,并且因此用在粒子加速器和微波真空管中,如速调管和磁控管。接着,在图像(b)中,原子502可以由形成驻波的两个反向传播激发激光504激发。诸如使用空间光调制器的替代技术可以用来产生诸如驻波之类的强度图案。驻波的特性可以是:局部强度在最大强度与零之间每个半波长调制一次。原子在强度较高的部位处可以被激发成高能态,并且原子在强度较低的情况下可能未被激发。这可以产生激发原子束的图案。束之间的间距506可以等于激发激光504的波长的一半。作为示例,在图7中,激发原子束之间的间距506可以是390nm,其由波长是780nm的激发激光504产生的。在图像(c)中,可以应用离子化激光脉冲508。脉冲508的光子能可以足够高以使激发原子离子化,但不足够高到使未激发原子离子化。这因此可以促使具有与通过驻波图案产生的激发原子506大致相同的束结构的电子云510的产生。电子云在本描述中可以被称为电子脉冲。可以在已存在高激发激光强度与高离子化激光强度两者的组合的位置处产生电子。因此,产生电子云的替代实施例可以包括与非结构化激发激光组合的(例如,产生驻波或SLM的)结构化离子化激光、结构化激发激光与结构化离子化激光的组合。在后一实施例中,可以例如通过组合具有不同强度图案的激发激光和离子化激光来产生更复杂的电子云图案。在图像(d)中,结构化电子云510可以借助于电极514(a)与514(b)之间的静态电场512被加速至空腔501之外。
本发明人发现与相对于图7描述的超冷电子产生方法相关的问题。即,在以上图像(d)中,电子由静电场加速。通常可以通过在空腔501中围绕原子云506的后部电极与前部电极之间施加静态电压而产生,如图7中所指示的。然而,这种方案的问题可能是源自更接近后部电极514(a)的原子的电子在离开通过前部电极514(b)中的孔之前可能在加速场512中花费比源自更接近前部电极514(b)的原子的电子更多的时间。因此,空腔501的后部中产生的电子可以比前部中产生的电子更高的速度离开空腔501。在后部产生的电子可能开始赶上和/或超过在前部产生的电子。
图8图示用于将电子云加速至空腔601之外的两个电极的示例设置。所述电极产生电场E,所述电场E可以在整个空腔中是大致恒定的,并且可以通过E=V0/L被给出,其中,V0是在电极上施加的电压,并且L为空腔601在两个电极之间的长度。在图8中,由相对于电子云的中心的位置z处的电子获取的速度v与其至前部电极的初始距离z0-z成比例,使得
v(z)=v0+,
这里,z0为云中心距前部电极的距离。v0为由云中心获取的速度。常数h<0可以被称为电子云的啁啾,并且由下式近似地给出:
因此,电子云可以在沿图8的图像(b)中示出的短距离d传播之后自压缩至非常小的长度,其中:
如上文所描述的和图8的(b)中所图示的,在时间t0处产生电子云,并且电子云被加速而以具有不同速度的电子离开空腔601。归因于变化的速度,所述云可以在其被加速进一步远离出口602时被压缩,这在t1处示出。在时间t2处,电子达到其最大压缩状态。电子云达到其最大压缩点的部位可以被称为自压缩点。空腔601的出口602与自压缩点之间的距离d通常可以是几毫米。随着电子云移动经过自压缩点,更接近空腔的后部而产生的电子可能超过更接近空腔601的前部和出口602而产生的电子。这在时间t3时被示出,其中,电子云的尺寸相比于其在所述压缩点处的尺寸已被扩展。本公开的目标中的一个是提供用于克服自压缩的挑战的方法和设备。
根据本发明的第一方面,提供一种用于控制由用于X射线产生的电子源提供的电子的密度分布的方法,如图9中描绘的。所述方法可以包括从空腔内的超冷激发原子的图案产生多个电子(702)。电子可以具有对应于激发原子的图案的密度分布。可以使用非静态加速度曲线将电子加速至所述空腔之外(704)。该加速度曲线可以在电子离开空腔时控制电子的密度分布。
上文所描述的方法的优点为,非静态加速度曲线可以克服上文相对于图8所描述的挑战。代替使用静态电场进行的加速,根据电子产生于空腔中的位置使电子以不同速度离开空腔,非静态加速度曲线可以被设计成减轻这种影响。通过向空腔内的电子施加不同加速度,能够可行的是控制电子在离开空腔的密度分布范围内的速度。能够可行的是在电子离开空腔时控制电子的密度分布的形状和/或尺寸。
加速度曲线可以设计成使得其控制空腔中的电子的速度,使得在电子离开空腔时电子的速度大致相等。所述云中的电子的大致相等的速度可以使得在空腔的出口处的电子的密度分布在电子传播远离空腔时基本上被维持。电子的密度分布也可以被称为电子云和/或称为电子脉冲。
加速度曲线可以减小电子的密度分布中的啁啾。相对于上文图8来提供了啁啾的潜在定义。啁啾可能由密度分布中的不同位置处的电子之间的速度差引起,这种速度差在电子传播时引起密度分布的形状改变。在密度分布中的所有电子的速度在其离开空腔时大致相等的实例中,可以基本上消除啁啾,即,可以将啁啾减少至零。引起其中所有电子具有大致相同的速度的纵向准直的密度分布(即,具有零啁啾的密度分布)的加速度曲线也可以被称为避免密度分布的自压缩的加速度曲线。
非静态加速度曲线可以包括电磁场。所述场可以例如为非静态电场E(z,t)。所述场可以在时间t上变化,其中,空腔中的任何设置部位处的所述场随时间而变化。所述场也可以沿传播方向z在位置上变化,其中,沿空腔内的z的不同位置可以在任一时间经历不同场强度。电场强度可以在电子云加速至空腔之外的时间期间在一定范围内改变。
空腔可以是产生电子的空间。空腔可以是用于支撑高电场强度(例如,大约数十MV/m的电场,其可能导致脉冲中的电子束具有在数十keV至若干MeV范围内的动能)的产生的共振结构。空腔可以是(部分地)封闭空间,或可以是开放空间。空腔可以包括至少一个出口,电子能够经由所述出口被从所述空腔移除。空腔可以是用于使得能够从超冷原子的图案产生电子的共振微波结构。空腔可以包括用作出口的孔,电子经由所述孔离开空腔。空腔可以例如包括用于加速在空腔内产生的电子的前部电极和后部电极。前部电极可以包括用作电子云的出口的孔。空腔可以具有矩形形状,或用于实现非静态加速度曲线的更复杂非矩形形状。
空腔例如可以是RF空腔,RF空腔可以包括其中RF波可以产生振荡场的金属壳体。所述场可以以在1GHz至12GHz的范围内的频率振荡,所述频率可以对应于L、S、C和X频带的一个或更多个标准化频率。RF空腔可以由电子调速管RF源供电。RF空腔可以以脉冲模式操作。可以通过空腔内的超冷原子云被补充的速度来确定脉冲频率。这通常可以在kHz范围内。适用于将气相适当高密度的原子限制于小体积中的任何装置均可以用于形成超冷原子云和图案。这例如可以包括磁光陷阱。
如上文所描述的,可以使用依赖于时间和位置的电场E(z,t)来实现利用非静态加速度曲线将电子云加速至空腔之外。电场强度可以在产生电子云并且电子云朝向空腔的出口移动的时间期间在一定值范围内改变。由电子经历的所述值范围可以取决于在空腔内产生电子所在的初始位置z。在空腔内的不同部位处产生的电子的这种变化可以使得可能修改电子的速度分布。特别地,可以修改电子内的啁啾。
为了使电场经由非静态加速度曲线来修改和控制电子的速度,电场分布E(z,t)可以在电子云离开空腔所花费的时间期间显著地变化。电场分布E(z,t)可以包括足够强以使得沿传播方向z的不同位置处的电子观测到显著不同场值的场梯度。在这样的情境下,足够强的场梯度dE/dz可以是约E/L的量值,其中,E为空腔中的场强度,并且L为电子云的长度。所述梯度的强度可以取决于特定应用的E和L,但可以在大约MV/m2至GV/m2的范围内。电场分布E(z,t)也可以如此强以至于以显著大的速度将电子云加速至容器之外。在这样的情境下,显著大的速度是使得电子云可以以足够的速度被注入至加速器中使得可以在电子云已穿过加速器之后产生X射线的速度。这种速度可以(例如)是光速的至少10%。此外,较高电子速度可以是优选的,这是因为较高速度引起较少库仑相互作用(碰撞)。这些库仑碰撞可能是不利的,这是因为库仑碰撞可能引起束劣化。因此,通过增加速度(束能量)来减少库仑碰撞可以是增加电子速度的优点。可以例如在RF空腔中实现具有在本段落中所描述的性质的电场,在RF空腔中可以建立强振荡电磁场。
适于用作非静态加速度曲线的示例性电场可以是:
其中E0为峰值电场强度,为限定所述场的场振荡相对于离子化步骤的时序的相,ω为空腔内的驻波的角频率,以及L为空腔沿z方向的长度。角频率/>其中,c表示光速。一些示例值可以包括在以下范围内的/>1GHz至12GHz,例如1GHz至10GHz。这可以指示为L、S、C和X频带。相应的空腔长度可以在12mm至150mm范围内。
图10描绘通过以上等式(1)给出的通过场E(z,t)加速至空腔之外的电子云的示例模拟。对于这种示例模拟,使用以下参数:沿z传播方向长度测量为1mm的电子云、长度为L=3cm的2GHz RF空腔和电场E0=9MV/m。在图10中,实线对应于在脉冲的后部处的电子,即,更接近后部电极且更远离空腔的出口所产生的电子。虚线对应于在脉冲的前部处的电子,该电子是接近前部电极且更接近空腔的出口而产生的。图10的(a)描绘两个示例电子在其加速至空腔之外期间所经历的电场。在初始阶段中,即图表上的至多100ps,后部电子始终比前部电子更接近场最大值。这种情形类似于静态场加速度的情况。然而,由于所述场在时间上振荡(参见等式(1)),因此所述场可以在电子已离开空腔之前被设置为反向方向。例如,这在图10的(a)中从100ps至200ps可见。反向电场可以部分地减缓电子,从而可以取消电子所获取的速度的一部分,如图10得(b)中所图示的。
这种设置的优点可以是可以(例如)通过为参数E0和z0选择和设置合适的值来调谐场反转,使得可消除电子之间的速度差异。如图10的(a)中示出的,从0ps至100ps,前部电子被加速得更多,但前部电子也在100ps至200ps期间被减缓得更多。净效应可以被调谐为前部电子和后部电子两者以相同速度离开空腔,如图10的(b)中示出的。脉冲中的所有电子的相同的出口速度或离开速度等效于针对这种电子脉冲将啁啾h调谐为零。因此,脉冲的自压缩点不会出现。此外,在使电子在空腔内和外部加速的过程期间,在沿z方向的不同位置处的电子不交叉轨迹。前部电子和后部电子可以以在位置分离的方式离开空腔,如图10的(c)中所图示的,在(c)中示出电子至脉冲中间的位置。如图10的(c)中描绘的,与电子脉冲在其产生时的尺寸相比,电子脉冲可能会以被稍微压缩的方式离开空腔。
电子可以是形成由脉冲电子源产生的单个脉冲的电子云。可以例如以上相对于图7所描述的那样产生电子。脉冲可以包括多个束。
电子的密度分布可以是所产生的包括多个电子束的电子脉冲。电子脉冲可以包括沿z方向彼此空间分离的多个电子束。每个束可以包括多个电子,每个束的所述多个电子与束之间的区域中的电子的较低密度相比具有较高密度。可以从存在于空腔内的超冷原子的图案产生所述多个束,例如,如上文相对于图6所描述的。
根据上文关于图10所描述的加速度曲线,可以维持电子脉冲中的束之间的分离。脉冲中的不同束可以加速至空腔之外,而不彼此重叠。在脉冲加速至空腔之外时,束的尺寸可能被压缩,并且作为脉冲压缩的一部分而靠近地一起移动。电子脉冲中的束的分离可以例如在0.39μm至10μm的范围内。电子脉冲长度可以是大约1mm。脉冲中的束的数可以在从100至2500的范围内。
虽然相对于将电子脉冲的啁啾调谐为零而描述加速度曲线,但上文所描述的方法可以用于设置其它啁啾和/或速度配置。可以独立于电子的速度来控制啁啾,这对于静态场来说是不可能的。具体地,可以有意地将束啁啾增大至较大值,使得自压缩点在非常短时间内传递。这可以提供一种避免自压缩点中有害的库仑相互作用劣化的替代方式,因为可以使空间电荷效应的持续时间足够短以限制微结构劣化。
可以串联地使用静态电场和RF空腔。可以串联地使用多个RF空腔。虽然上文描述包括两个电极的矩形空腔形状,但方法可以使用更一般的空腔形状。虽然等式(1)指示单个驻波场分布,即空腔的最低阶模式,但通常,RF空腔可以支撑多种不同模式。因此,可以通过使用RF空腔模式的组合进一步调谐最终速度分布。也可以使用RF行进波结构,而不是RF空腔的驻波模式。
上文所论述的密度分布的控制聚焦于沿脉冲的传播方向(z方向)的控制上。加速场(不论其为静态的或RF的)和RF空腔的哪种模式(和RF空腔的形状)也可以影响电子脉冲中的电子沿x和y方向的横向速度分布。任何电场具有纵向梯度可能引发横向场分量的性质。这可能引起负啁啾情况下的横向发散电子脉冲,和正啁啾情况下的横向会聚电子脉冲。当与RF空腔一起工作时,横向束尺寸和/或电子束发散可能受额外的电子光学器件控制,所述额外的电子光学器件诸如螺线管、四极磁体、静电或静磁横向电子光学器件或依赖于时间的横向电子光学器件。这样的电子光学器件可以例如设置在空腔的出口附近。
电子的密度分布可以用于X射线的产生。特别地,电子可以用于经由逆康普顿散射进行的X射线的产生。可以通过设备执行控制上文所描述的电子的密度分布的方法。所述设备可以形成辐射源(例如,X射线辐射源)的部分或连接至所述辐射源。所述设备可以被提供以用于量测设备中或与量测设备一起使用,例如用于测量和/或检查光刻结构。所述设备可以用于光刻应用中,例如用于控制电子的密度分布的设备可以设置在光刻单元中。
一旦在空腔之外提供具有受控速度曲线的电子的密度分布,即可以将脉冲引导至目的地以用于产生X射线。如以上所描述的,密度分布可以包括多个束。在逆康普顿散射X射线源中施加束的图案可以具有增加X射线源的亮度和/或时间相干性的优点。与其它类型的X射线源相比,所述设置可以是紧凑的,从而实现类似的亮度性能。这例如图示于图11中,图11描绘了电子分布。图11的(a)描绘随机分布的电子。从这些电子产生的X射线辐射可以归因于随机分布而不是相干地发射。这可以引起与电子的数目N成比例的X射线源亮度,如上文相对于图6所描述的。
图11的(b)示出以束分组在一起的电子。成束的密度分布可以在被激光脉冲辐照时引起X射线辐射的相干发射增加。然而,为了发生所产生的X射线辐射的相干相加,束之间的间距应近似所产生的X射线辐射的波长。在束离开空腔时,密度分布中的束之间的间距可以大约为激发激光504和/或离子化激光的驻波图案的周期性,如相对于图7所描述的。这种间距可以比期望的间距大若干数量级。因此,为了实现等于X射线波长的间距,在脉冲离开已产生电子脉冲的空腔之后可能需要对电子脉冲的密度分布的进一步控制和操纵。当前描述的目标是通过操控电子束之间的间距为大致等于X射线波长来实现源亮度的进一步增加。可以提供束线以沿z传播方向纵向地压缩电子脉冲以减小束之间的间距。
图12描绘压缩包括用于产生相干X射线的电子束的密度分布的方法的流程图。特别地,所产生的X射线可以是软X射线。所述方法包括接收1002具有密度分布的多个电子束。多个电子束被压缩1004,使得束之间的沿电子束的传播方向的距离与待产生的X射线辐射的波长一致或相当。
如上文所陈述的,在压缩之前电子束之间的距离或间距可以是大约数百纳米。减小电子束之间的间距以匹配X射线波长可以具有以下优点:使能够经由逆康普顿散射而增加相干X射线的产生,从而导致具有增加的亮度的X射线源。
用于ICS产生的X射线的相干增强的准则可以是
kmod=kx+k0cosθ0
其中表示波数,其中,λmod表示束之间的间距(在压缩之后);其中,λx为X射线波长;/>其中λ0为ICS激光波长;并且θ0为ICS激光相对于电子束路径的入射角。与ICS激光波长相关的术语与其它术语相比可以是小的。在这样的情况下,可以用kmod≈kx近似该等式。在压缩之前束之间的间距可以由λmod,0表示,这意味着所述间距的纵向(沿z传播方向)压缩因子可以被表示为/>对于相对于图7和图8所描述的电子密度分布,可能需要压缩若干数量级以实现相干ICS X射线的产生。换句话说,M可以<<1。M也可以被称为放大因子或缩小因子。
可以通过束线执行压缩方法。为了描述束线的内容,考虑相空间中的电子脉冲中的速度和位置分布可以是有用的。用于可视化电子束的纵向动力学的有用方式可以用于绘制所谓的纵向相空间,其是在传播方向上的粒子动量pz相对于电子束中的粒子的纵向位置z的绘制图。示例性的纵向相空间绘制图被描绘在图13中,在图13中,沿束线绘制不同部位的相空间。较暗的线指示高密度的粒子且较浅的背景指示低密度的粒子。电子束可以在位置zn=nλmod处以高电子密度出现,在那些位置之间具有低电子密度。在这样的情形下,可以相对于彼此评估高密度和低密度的含义。理想地,低电子密度为不存在电子(0电子/m3)。示例性的高电子密度可以在源处的1016至1018电子/m3范围内。在相互作用部位处,高密度可以在1016至1018/M电子/m3范围内,其中,M为上文引入的放大因子,并且假定横向尺寸恒定。
在相空间表示中,束可以看起来像一系列竖直线。绘制图(i)可以表示电子束在源的出口处的状态。总体电子束可以具有某一有限长度和粒子动量的某一散度,其在图中可以由相空间中的被称为相空间椭圆的椭圆轮廓的宽度和高度表示。在相空间中,束线的目标可以是操纵电子束,使得最终相空间(iv)示出以比源处更接近因子1/M间隔开的竖直线的图案。在数学上,可以通过线性变换从初始相空间获得这种最终相空间。例如,包括多个束的图(i)的密度分布可以在图(iv)中水平地收缩1/M。可以例如通过可以用于加速器束线中的两个基本线性变换的组合而获得这种结果。这些变换可以是相空间的水平偏斜和相空间的竖直偏斜。相空间中的偏斜的含义被图示于图14中。顶部行示出z维度上的正水平偏斜和负水平偏斜。底部行图示z维度上的正竖直偏斜和负竖直偏斜。
可以通过使脉冲在某一距离上传播来在低电子脉冲能量下获得水平偏斜,所述距离构成漂移。这可能因为在相空间椭圆的顶部处具有稍微较高动量的粒子超过在相空间椭圆的底部处具有稍微较低动量的电子。对于较高电子脉冲能量,可以通过使快速粒子在比缓慢粒子更长或更小路径上方移动来获得水平偏斜。这可以例如通过施加一个或更多个磁场来实现。进行这种操作的标准磁性器件可以包括例如所谓的减速弯道(chicanes)、急弯(dog legs)和/或α磁体。在相空间中引起水平偏斜的任何布置可能更通常被称为色散段。偏斜的量值可以被指示为R56。在这样的表示法中,数字5和6为转移矩阵的索引,其中,5和6表示第5行和第6列。这可能因为z方向为变换中所包括的第三方向,其中,横向x和y方向使用转移矩阵的前四行和列。
可以通过施加粒子动量的z依赖性变化来获得相空间的竖直偏斜。在相空间中,这可以向上移动相空间椭圆的一个端部且向下移动另一端部。这种竖直偏斜可以例如通过使电子脉冲传播通过RF空腔结构来实现。在RF空腔结构内部,振荡电场的相可以使得当脉冲的前部(或后部)穿过空腔时所述场处于加速方向上,并且可以使得在电子脉冲的后部(或前部)穿过空腔时所述场处于减速方向上。更通常地,造成相空间中的竖直偏斜的任何束线元件可以被称为啁啾调频器。偏斜的量值可以被指示为R65(对于符号的规定参见图14)。
就基本偏斜操作而言,束线可以包括一系列束线元件,这些束线元件施加具有期望的量值和期望的次序的期望的变换步骤。如上文所描述的,这些束线元件可以包括电子光学器件。如图13中所图示的,用于实现沿传播方向的压缩的操作可以包括从初始脉冲(i)至(ii)的具有R1 56>0的色散段。这可以通过上文所描述的水平偏斜方法中的任一方法来形成。从(ii)至(iii),具有R65<0的啁啾调频器。这可以例如通过多个串联RF空腔来获得。可以从(iii)至(iv)提供R2 56>0的第二色散段。为了实现因子M的压缩,可能必须满足以下关系式:
可以提供束线的替代形式来实现缩小M,例如,满足以上等式(2)和(3)的任何三个束线元件。此外,压缩可以分布于多个级(例如,使用多于3个变换元件)上。在多级束线中,每个级可以类似于上文所描述的束线。所有级的缩小因子的乘积可以等于总压缩M。如果需要较大压缩M,则这种多级缩小可以是有利的(M<<1)。这可能因为对于较大压缩,可以通过串联使用多个较小压缩级来缩短束线的总长度。引起相空间在z方向上的缩小的任何束线可以用于束线中。束线可以由转移矩阵T表征。转移矩阵可以指示如何通过束线来变换相空间坐标z和pz。除压缩以外,束线也可以用于实现放大。因此,因子M可以被称为放大因子和压缩因子中的任一个/两者:
使用这种表示法,以下形式的任何转移矩阵实现因子M的放大:
其中x为任意数字。
可选地,可以将去啁啾调频器(即,具有与第一啁啾调频器的R65相反的R65的第二啁啾调频器)添加至束线的端部,以移除最终束中的z与pz之间的剩余相关性。可选地,在束线中的任何位置处,可以放置加速器以增加总束能量。这种情形可以有利于进一步增大由ICS产生的x射线的光子能。
在束线中,因为电子脉冲中的电子彼此排斥,可能产生显著的并发症或复杂化。这可能使得脉冲中的束扩展至束间间隔中,这归因于束中的较大电子密度。另外,非线性关系可能存在于速度与动量之间,这是次相对论性的电子脉冲的特性。这种非线性关系可能造成相空间的变形。归因于这些现象,不是所有满足等式2和3的束线同样良好地运转。详细解释空间电荷和相对论效应的详细粒子追踪模拟表明图13中的示例束线对于包括至多3000个电子的电子脉冲可以良好地运转。在示例性束线中,可以将啁啾调频器设计为一系列多个连续RF空腔而不是单个RF空腔。这可以用于限制每空腔的所需场强度。
在该示例性束线中,关于寄生压缩的瓶颈可以防止粒子数目增加,因为这种增加可能显著影响电子脉冲的束结构。寄生压缩可以是束线中的脉冲长度经过最小值的点。在R1 56>0的情况下,这种点可以出现在与ICS激光相互作用的相互作用点与啁啾调频器之间。因此,可以关注的替代束线可以是其中第一色散段具有R1 56<0的束线。另外,鉴于等式3和所需的较大的缩小率,这种段的绝对量值可以较大。在实践中,这种段可以由专用α磁体形成,在这种磁体中,被最大化。
作为使用上文所描述的电子光学器件的束线的替代方案可以用于使用回波增强型谐波产生EEHG来实现压缩。EEHG可以获得在具有初始宽节距束结构的脉冲内具有窄节距的束的局部区。将EEHG用于节距压缩的原理示出在图15中。可以将具有束间间距将被压缩的多个束(在图15的(a)中被图示)的电子脉冲引导穿过色散段1302。这可以引起水平偏斜的相空间,这被示出在图15的(b)中。初始水平偏斜可以较强。
在下一步骤中,可以应用调制器1304,其引起在z方向(脉冲的传播方向)上为周期性的电子动量的调制。在这样的实例中,动量调制的量值可以显著大于脉冲的初始动量散度。这可以具有以下优点:调制之后的相空间在每个调制周期p1显现具有多个紧密间距的线的区,所述线具有负斜率,如图15的(c)中所图示的。经调制的脉冲可以被引导穿过第二色散段1306以引入第二水平偏斜。这可以使得具有负斜率的线带变成竖直定向1308(参见图15的(d))。图16中描绘沿与这种最终相空间相对应的z方向的电子密度。如所图示的,EEHG过程可能产生间隔开距离p1的区,所述区具有非常紧密地间隔开的束,其中,可以将间距控制为λmod。可以使用色散段的替代实施方式。段1302可以设置有正号或负号。替代地,段1306设置有负号,在这种情况下,图15的(c)中具有较大正斜率的区可以变成竖直定向。
在Stupakov在物理评论快报(Phys.Rev.Lett.)102,74801(2009)中的文章和Ribic等人在自然光子学(Nature Photonics)13,555(2019)的文章中描述了EEHG。上文所描述的设置相比于那些参考文献中所描述的EEHG具有若干优势。第一优点为将以上EEHG方法步骤与如本文中所描述的获得的电子脉冲组合。归因于脉冲中的电子的速度和密度分布的控制,脉冲的动量散布显著地低于常规电子脉冲的动量散度。这可以意味着可以使用具有明显较低振幅的调制器。
第二,以上参考文献在高能量加速器的情境下描述了EEHG,用于用作提供作为自由电子激光器的输入的具有窄间距的束的超相对论电子脉冲的工具。然而,本说明书引入在紧凑ICS源中使用EEHG用于X射线产生的选项。EEHG因此可以应用于低能量电子脉冲。低能量应用的优点可以是:色散段可以被实施为简单传播段。
此外,替代磁性调制器,可以使用光学调制器。上文参考文献中所描述的EEHG过程描述用于调制步骤的磁性调制器。常规磁性调制器可以由具有节距λu的磁性波荡器(具有交替极性的磁体的布置)组成。磁性波荡器可以引导电子遵循波荡路径。波荡器与具有波长λs的共同传播种子激光脉冲组合。归因于电子的波荡运动,电子将发射具有波长的辐射,其中,/>v为电子速度,并且c为光速。如果波荡器与种子光共振(即,如果/>),则一些电子将具有来自交互作用的平均增益能量而另一些将具有平均损失能量。举例来说,如图15的(c)中所图示的,可以以引起周期性动量调制结果的模式使平均能量增益和损失。
然而,对于ICS X射线源,γ的值可以在2至10的范围内。这可能需要具有亚毫米节距的共振磁性波荡器与常规种子激光源组合。这种节距可能难以实现。本文中提议,通过提供光学调制器可以克服这种挑战。归因于相干增强所需的X射线波长辐射级的束间间距,这在ICS X射线产生应用中可以是有利的。在光学调制器中,磁性波荡器可以由具有波长λu的反向传播激光替换。反向传播激光可以是脉冲式激光器辐射束。归因于反向传播激光的逆康普顿散射,电子脉冲可以发射具有波长的辐射。如果种子激光的辐射波长与反向传播激光辐射共振,例如当/>时,则可以产生与在使用常规磁性调制器时相同的周期性动量调制。在以上公式中,为公式的简单起见,已进行了近似。已进行了超相对论近似。已进行了种子激光和调制激光沿电子速度的方向传播的近似。本领域技术人员将了解,可以改为使用广义、非近似公式。
包括种子激光和反向传播激光的布置的光学调制器是可行的,其中激光具有不同入射角。不同角度的设置可以具有相应的广义共振准则。使用光学调制器的优点可以是:与磁性调制器所需的尺寸相比,光学调制器在束线中需要较短路径长度。路径长度可以与两个交叉的种子激光束和反向传播激光束的聚焦区一样短。另一优点可以是,当光学调制器形成X射线辐射源的部分时,一个或更多个激光器可以存在于该设置的其它部分中。因此,反向传播激光源和/或种子激光源可以在X射线源设置中被多次使用。例如,用于X射线源的另一部分中的激光器可以同时用作光学调制器中的反向传播源,而无需提供额外的激光器。
此外,在低能量电子脉冲应用中,对于ICS产生的X射线,调制器中的所需电磁力可以足够低(例如,大约为μJ),使得其可以由脉冲式激光器的光场提供。在更常规的高能量自由电子激光应用中的超相对论电子脉冲的情况下,这将是不可能的。图17描绘示例粒子追踪模拟的结果,其示出在应用由两个交叉激光束组成的光学调制器之后的电子脉冲的小截片的相空间。图表示出沿z方向的高电子密度的平行频带的结构,如上文所描述的,所述结构被调制为正弦形状。可以通过激光强度来量化调制器中的电磁力。对调制器的要求可能是施加的能量调制大于电子脉冲的固有能量散度或扩展度。满足这种要求所需的激光强度可以与电子能量和电子能量散度的乘积成比例。对于本文中所描述的超冷电子脉冲,能量可以例如为大约几MeV。能量散度可以是几eV。这可以产生1017至1019W/m2的所需激光强度。这可以易于在超冷电子源的典型kHz重复率下使用商业飞秒激光器来实现。相比之下,超相对论电子脉冲可以具有接近1GeV的能量和接近1MeV的能量散度。这可以产生1025W/m2的所需激光强度。这是在kHz重复率下不可以由可以用激光器到达的非常高的强度。对于超相对论电子脉冲,因此可能必须依靠磁性调制器。
具有受控密度和速度分布的电子脉冲和/或上文所描述的束线可以用于产生X射线脉冲。包括多个电子束的电子脉冲的特征可以在于其动能U及其束节距/间距λmod。可能通过控制U和λmod的平均值,以及另外或替代地,通过控制其纵向导数dU/dz和dλmod/dz,来实现多种ICS产生的X射线脉冲。图18描绘控制这些不同表征性质的示例效应。图表1601图示束沿z方向的纵向动量。由虚线指示的斜率可以与沿z的动能的改变速率成比例。图表1602示出沿z方向的节距或束间间距。斜率表示节距沿电子脉冲的传播方向z的改变速率。
可以将具有非零能量导数dU/dz的电子脉冲称为能量啁啾。具有非零束导数dλmod/dz的电子脉冲可以被称为束啁啾。可以例如通过适当选择RF相和原子云的位置将该脉冲的能量啁啾控制于电子源处。替代地或另外地,例如通过使用啁啾调频器将电子脉冲的能量啁啾控制在束线中。可以通过操纵电子源中的驻波来控制电子脉冲的束啁啾。这可以例如通过与强发散激发激光束和/或空间光调制器交叉或通过在束线偏斜操作中引入非线性来实现。
此外,用于辐照电子脉冲以引发逆康普顿散射X射线产生的ICS激光脉冲也可以被有意地进行啁啾。其中波长从前部逐渐减小回至后部的激光脉冲可以被称为具有正啁啾c0>0的激光脉冲。能量啁啾式电子脉冲和/或束啁啾式电子脉冲与啁啾式ICS激光脉冲碰撞可以提供下文所描述的机会。
第一机会可以是非常短的、阿秒X射线脉冲的产生。这可以通过使束啁啾式电子脉冲与啁啾式激光脉冲碰撞来实现。这可以引起所产生的X射线脉冲的时间压缩。压缩机制可以类似于啁啾反射镜的操作原理。可以通过使不同波长在其反射之前穿透至反射镜表面的不同深度中而纵向压缩啁啾式激光脉冲。通过调谐不同波长辐射的路径长度,可以使激光脉冲的对应于不同波长的段重叠。这可以产生经压缩的反射脉冲。可以基于相同压缩原理实现用于超短X射线脉冲产生的机制。
负束啁啾式电子束(dλmod/dz<0)可以与反向传播正啁啾式激光脉冲碰撞。归因于逆康普顿散射,电子可发射具有波长λx(t)=λ(t)/4γ2的X射线辐射。由于脉冲被啁啾,因此该发射波长沿激光脉冲的持续时间而变化。仅在激光脉冲中某处的短时间间距期间,电子脉冲的局部束才会与发射波长共振。在满足用于相干增强kmod=kx+k0 cosθ0的条件的点处,发射的X射线辐射可以被相干地放大。将在沿z方向的电子脉冲的不同部分(截片)的不同部位处满足这种条件。因此,电子脉冲的每个截片可以发射经放大的X射线辐射的短突发即短脉冲。此外,由于电子脉冲为束啁啾式,因此对于电子脉冲中的不同截片,共振时间间距可以不同。
通过控制束啁啾和激光啁啾以具有有利关系,可以使由电子脉冲的单独的截片发射的X射线辐射的短突发重叠。结果可以是非常短且强烈的X射线脉冲,例如在阿秒范围内的脉冲。可以通过考虑脉冲的在激光脉冲前部附近共振的截片和在脉冲后部附近共振的截片来理解这种概念。激光的前部应与脉冲的后部截片共振,使得经共振的散射辐射在其与激光的后部共振时到达所述前部截片。
另一机会可以包括对X射线脉冲的光谱带宽的控制。这可以通过选择对电子脉冲的能量啁啾和对激光脉冲的啁啾的组合来实现。束啁啾可以是零或非零。归因于逆康普顿散射,脉冲中的电子可发射处于波长λx(t)=λ(t)/4γ2的X射线辐射。这种波长可以沿激光脉冲的持续时间而变化,这是因为激光脉冲被啁啾的。归因于电子脉冲被能量啁啾,束节距仅在激光脉冲中某处的短时间间距期间与发射波长共振。如上文,共振条件可以是kmod=kx+k0cosθ0。在符合共振条件时的间隔期间,可以以相干方式放大所发射的X射线辐射。在近似视图中,这可以在发射辐射λx(t)等于束节距λmod时发生。然而,由于能量且因此γ可以在脉冲范围内变化,因此激光脉冲的共振并根据λ(t)/4γ2=λmod相干地放大的特定部分λ(t)也可以在电子脉冲范围内变化。
例如,如果能量啁啾为正的且激光啁啾为负的,则由电子脉冲的前部发射的X射线辐射可以在由激光脉冲的后部激发时与束间间距共振(较大λ与较大γ组合)。由电子脉冲的后部发射的X射线辐射可以在由激光脉冲的前部激发时与束间间距共振(较小λ与较小γ组合)。结果可以是电子脉冲的所有部分在相对短时间间隔内变为共振。这种情形的结果可以是总X射线脉冲在时间上较短。这可以对应于具有宽光谱带宽的X射线脉冲。在另一极端中,例如,当能量啁啾和激光器啁啾两者均为正时,可能发生相反情况。电子脉冲的前部可以与激光脉冲的前部共振。电子脉冲的后部可以与激光脉冲的后部共振。由于电子脉冲和反向传播激光脉冲的前部首先会合,并且电子脉冲和激光脉冲的后部在仅稍后的某一时间会合,因此电子脉冲的不同部分发射被相干地放大的辐射的时间可以分布在相对长的间隔上。这可能引起相对长的X射线脉冲,这可以对应于窄光谱带宽。
在后续编号方面中公开另外的实施例:
1.一种用于控制由电子源提供以用于硬X射线、软X射线和/或极紫外线的产生的电子的密度分布的方法,所述方法包括:
在空腔内使用离子化激光从超冷激发原子的图案产生多个电子,其中,所述电子具有由所述激发原子的所述图案和所述离子化激光中的至少一个确定的密度分布;和
使用非静态加速度曲线将所述电子加速至所述空腔之外,其中,所述加速度曲线控制所述电子的在所述电子离开所述空腔时的所述密度分布。
2.根据方面1所述的方法,其中,所述加速度曲线控制所述空腔中的所述电子的速度,使得在所述电子离开所述空腔时所述电子的所述速度大致相等。
3.根据前述方面中的任一项所述的方法,其中,电子的所述密度分布包括多个电子束。
4.根据前述方面中任一项所述的方法,其中,所述加速度曲线减少离开所述空腔的电子的所述密度分布中的啁啾。
5.根据前述方面中任一项所述的方法,其中,所述加速包括非静态电磁场。
6.根据方面3所述的方法,其中,所述非静态电磁场包括随时间变化的分量。
7.根据方面5至6中任一项所述的方法,其中,所述非静态电磁场包括随在所述空腔内的位置而变化的分量。
8.根据前述方面中任一项所述的方法,其中,所述电子密度分布与超冷激发原子的所述图案匹配。
9.根据前述方面中任一项所述的方法,其中,所述电子密度分布由结构化离子化激光确定。
1 0.根据前述方面中任一项所述的方法,其中,所述空腔为共振微波结构。
11.根据前述方面中任一项所述的方法,其中,使用逆康普顿散射实现所述硬X射线、软X射线和/或极紫外线的产生。
12.一种用于控制由电子源提供以用于硬X射线、软X射线和/或极紫外线的产生的电子的密度分布的设备,其中,所述设备被配置成执行根据方面1至11中任一项所述的方法。
13.一种辐射源,其包括根据方面12所述的设备。
14.一种量测设备,其包括根据方面12所述的设备。
15.一种光刻单元,其包括根据方面12所述的设备。
16.一种压缩包括用于相干硬X射线、软X射线和/或极紫外线的产生的电子束的密度分布的方法,所述方法包括:
接收具有密度分布的多个电子束;和
压缩所述多个电子束,使得所述束之间的沿所述电子束的传播方向的距离与待产生的硬X射线、软X射线和/或极紫外辐射的波长一致。
17.根据方面16所述的方法,其中,使用回波增强型谐波产生来压缩所述电子束。
18.根据方面16至17中任一项所述的方法,其中,使用电子光学器件来压缩所述电子束。
19.根据方面16至18中任一项所述的方法,其中,使用逆康普顿散射来实现所述相干硬X射线、软X射线和/或极紫外线的产生。
20.一种压缩包括用于产生相干硬X射线、软X射线和/或极紫外线的电子束的密度分布的组件,其中,所述组件被配置成执行根据方面16至19中任一项所述的方法。
21.一种产生用于相干硬X射线、软X射线和/或极紫外线的产生的回波增强型谐波的方法,所述方法包括:
接收多个电子束,其中,每个束包括动量散度;
使所述电子传播通过色散段,从而沿传播方向在相空间引入偏斜;
使用光学调制器将动量调制应用于沿所述传播方向为周期性的所述电子束;以及
使所述电子传播通过第二色散段,沿所述传播方向在相空间中引入第二偏斜,所述第二偏斜修改所述电子束的被调制的动量,以提供与所接收的多个束相比沿所述传播方向具有减小的间距的多个束。
22.一种产生阿秒硬X射线、软X射线和/或极紫外脉冲的方法,所述方法包括:
获得多个电子束;
在所述多个束之间的间隔中引入啁啾;以及
用反向传播的啁啾式辐射脉冲辐照被啁啾的所述束,以用于产生硬X射线、软X射线和/或极紫外辐射,其中,所述束的间隔啁啾根据共振条件而与所述辐射脉冲的啁啾匹配,从而产生阿秒硬X射线、软X射线和/或极紫外脉冲。
23.根据方面22所述的方法,其中,所述束中的间隔啁啾和所述辐射脉冲中的啁啾为正。
24.根据方面22至23中任一项所述的方法,其中,设置动能啁啾以控制待产生的所述硬X射线、软X射线和/或极紫外辐射的带宽。
25.根据方面22至24中任一项所述的方法,其中,在所述多个束之间的间隔上引入啁啾包括控制所述电子束的动能和所述电子束的节距中的至少一个的纵向改变速率。
虽然可以在本文中具体地参考在IC制造中光刻设备的使用,但应理解,本文中所描述的光刻设备可以具有其它应用。可能的其它应用包括制造集成光学系统、用于磁域存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。
虽然可以在本文中具体地参考在光刻设备的情境下的实施例,但实施例可以用于其它设备中。实施例可以形成掩模检查设备、量测设备或测量或处理诸如晶片(或其它衬底)或掩模(或其它图案形成装置)的对象的任何设备的部分。这些设备通常可以被称为光刻工具。这种种光刻工具可以使用真空条件或环境(非真空)条件。
虽然可以在本文中具体地参考在检查或量测设备的情境下的实施例,但实施例可以用于其它设备中。实施例可以形成掩模检查设备、光刻设备或测量或处理诸如晶片(或其它衬底)或掩模(或其它图案形成装置)的对象的任何设备的部分。术语“量测设备”(或“检查设备”)也可以指检查设备或检查系统(或量测设备或量测系统)。例如包括实施例的检查设备可以用于检测衬底的缺陷或衬底上的结构的缺陷。在这样的实施例中,衬底上的结构的所关注的特性可能涉及结构中的缺陷、结构的特定部分的不存在或衬底上的不想要结构的存在。
虽然上文可以具体地参考在光学光刻术的情境下对实施例的使用,但应了解,本发明在情境允许的情况下不限于光学光刻术且可以用于其它应用(例如,压印光刻术)中。
虽然上文所描述的目标或目标结构(更通常地,衬底上的结构)是出于测量的目的而特定设计和形成的量测目标结构,但在其它实施例中,可以在作为形成在衬底上的器件的功能性部分的一个或更多个结构上测量所关注的性质。许多器件具有规则的类光栅结构。如本文中所使用的术语结构、目标光栅和目标结构不要求已特定针对正执行的测量来提供结构。另外,量测目标的节距可以接近散射仪的光学系统的分辨率极限或可能更小,但可能比目标部分C中的通过光刻过程制得的典型非目标结构(可选地产品结构)的尺寸大得多。在实践中,可以使目标结构内的重叠光栅的线和/或空间包括在尺寸上类似于非目标结构的较小结构。
虽然上文已描述具体实施例,但将了解,可以以与所描述的方式不同的其它方式来实践本发明。以上描述旨在是说明性的,而不是限制性的。因此,本领域技术人员将明白,可以在不背离下文所阐述的权利要求的范围的情况下对所描述的本发明进行修改。
虽然特别提及“量测设备/工具/系统”或“检查设备/工具/系统”,但这些术语可以指相同或类似类型的工具、设备或系统。例如,包括本发明的实施例的检查或量测设备可以用于确定衬底上或晶片上的结构的特性。例如,包括本发明的实施例的检查设备或量测设备可以用于检测衬底的缺陷或衬底上或晶片上的结构的缺陷。在这样的实施例中,衬底上的结构的所关注的特性可能涉及结构中的缺陷、结构的特定部分的不存在或衬底上或晶片上的不想要结构的存在。
虽然具体地参考SXR和EUV电磁辐射,但应了解,本发明在情境允许的情况下可以通过所有电磁辐射来实践,所述电磁辐射包括无线电波、微波、红外、(可见)光、紫外、X射线和γ射线。作为光学量测方法的替代方案,也已考虑使用X射线,可选地使用硬X射线,例如在0.01nm与10nm之间,或可选地在0.01nm与0.2nm之间,或可选地在0.1nm与0.2nm之间的波长范围内的辐射,以用于量测测量。

Claims (15)

1.一种用于控制由电子源提供的用于硬X射线、软X射线和/或极紫外线的产生的电子的密度分布的方法,所述方法包括:
在空腔内使用离子化激光从超冷激发原子的图案产生多个电子,其中,所述电子具有由激发原子的所述图案和所述离子化激光中的至少一个确定的密度分布;和
使用非静态加速度曲线将所述电子加速至所述空腔之外,其中,所述加速度曲线控制所述电子在所述电子离开所述空腔时的所述密度分布。
2.根据权利要求1所述的方法,其中,所述加速度曲线控制所述空腔中的所述电子的速度,使得在所述电子离开所述空腔时所述电子的所述速度大致相等。
3.根据前述权利要求中任一项所述的方法,其中,电子的所述密度分布包括多个电子束。
4.根据前述权利要求中任一项的方法,其中,所述加速度曲线减少离开所述空腔的电子的所述密度分布中的啁啾。
5.根据前述权利要求中任一项的方法,其中,所述加速包括非静态电磁场。
6.根据权利要求3所述的方法,其中,所述非静态电磁场包括随时间变化的分量。
7.根据权利要求5至6中任一项所述的方法,其中,所述非静态电磁场包括随在所述空腔内的位置变化的分量。
8.根据前述权利要求中任一项的方法,其中,所述电子的密度分布与超冷激发原子的所述图案匹配。
9.根据前述权利要求中任一项的方法,其中,所述电子的密度分布由结构化离子化激光确定。
10.根据前述权利要求中任一项的方法,其中,所述空腔为共振微波结构。
11.根据前述权利要求中任一项的方法,其中,使用逆康普顿散射实现所述硬X射线、软X射线和/或极紫外线的产生。
12.一种用于控制由电子源提供的用于硬X射线、软X射线和/或极紫外线的产生的电子的密度分布的设备,其中,所述设备被配置成执行根据权利要求1至11中任一项所述的方法。
13.一种辐射源,包括根据权利要求12所述的设备。
14.一种量测设备,包括根据权利要求12所述的设备。
15.一种光刻单元,包括根据权利要求12所述的设备。
CN202180086080.5A 2020-12-21 2021-11-23 用于控制电子密度分布的方法和设备 Pending CN116635972A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP20216083.4 2020-12-21
EP20216083.4A EP4017221A1 (en) 2020-12-21 2020-12-21 Methods and apparatus for controlling electron density distributions
PCT/EP2021/082663 WO2022135811A1 (en) 2020-12-21 2021-11-23 Methods and apparatus for controlling electron density distributions

Publications (1)

Publication Number Publication Date
CN116635972A true CN116635972A (zh) 2023-08-22

Family

ID=73856415

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180086080.5A Pending CN116635972A (zh) 2020-12-21 2021-11-23 用于控制电子密度分布的方法和设备

Country Status (7)

Country Link
EP (1) EP4017221A1 (zh)
JP (1) JP2024500655A (zh)
KR (1) KR20230122599A (zh)
CN (1) CN116635972A (zh)
IL (1) IL303875A (zh)
TW (2) TW202338522A (zh)
WO (1) WO2022135811A1 (zh)

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG135052A1 (en) 2002-11-12 2007-09-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
TW200924567A (en) * 2007-11-27 2009-06-01 Nat Univ Tsing Hua Laser-beat-wave photocathode electron accelerator and electron radiation apparatus using the same
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101295203B1 (ko) 2008-10-06 2013-08-09 에이에스엠엘 네델란즈 비.브이. 2차원 타겟을 이용한 리소그래피 포커스 및 조사량 측정
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
IL290735B2 (en) 2014-11-26 2023-03-01 Asml Netherlands Bv Metrological method, computer product and system
JP6630369B2 (ja) 2015-06-17 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. 相互レシピ整合性に基づくレシピ選択
GB201617173D0 (en) * 2016-10-10 2016-11-23 Univ Strathclyde Plasma accelerator
US11035804B2 (en) 2017-06-28 2021-06-15 Kla Corporation System and method for x-ray imaging and classification of volume defects
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
WO2020089454A1 (en) 2018-11-02 2020-05-07 Technische Universiteit Eindhoven Tunable source of intense, narrowband, fully coherent, soft x-rays

Also Published As

Publication number Publication date
IL303875A (en) 2023-08-01
KR20230122599A (ko) 2023-08-22
TW202338522A (zh) 2023-10-01
JP2024500655A (ja) 2024-01-10
TWI808567B (zh) 2023-07-11
TW202240303A (zh) 2022-10-16
WO2022135811A1 (en) 2022-06-30
EP4017221A1 (en) 2022-06-22

Similar Documents

Publication Publication Date Title
CN110799903B (zh) 确定边缘粗糙度参数
KR20230141891A (ko) 기계 학습 기반 역 광 근접 보정 및 공정 모델 캘리브레이션
US11347155B2 (en) Illumination source for an inspection apparatus, inspection apparatus and inspection method
KR20210044289A (ko) 광학 시스템, 계측 장치 및 관련 방법
IL292444A (en) A method and device for creating an effective high harmonic
TWI808567B (zh) 用於控制電子密度分佈之方法及裝置
NL2024462A (en) An illumination source and associated metrology apparatus
JP2018529117A (ja) ビーム分割装置
TW202143277A (zh) 高亮度低能量散佈脈衝電子源
EP3848953A1 (en) High brightness electron source
EP4321933A1 (en) A radiation source
US20220382124A1 (en) An illumination source and associated metrology apparatus
EP3839621A1 (en) An illumination source and associated metrology apparatus
TW202309632A (zh) 清潔方法及相關聯照明源度量衡設備
TW202326190A (zh) 基於空芯光子晶體纖維之寬帶輻射產生器
TW202242563A (zh) 空間地過濾光脈衝之方法及設備
CN118043740A (zh) 使用带电粒子检查系统的图案化参数确定

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination