CN116360212A - 光致抗蚀剂底层组合物 - Google Patents

光致抗蚀剂底层组合物 Download PDF

Info

Publication number
CN116360212A
CN116360212A CN202211725313.4A CN202211725313A CN116360212A CN 116360212 A CN116360212 A CN 116360212A CN 202211725313 A CN202211725313 A CN 202211725313A CN 116360212 A CN116360212 A CN 116360212A
Authority
CN
China
Prior art keywords
substituted
unsubstituted
group
photoresist underlayer
structural unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211725313.4A
Other languages
English (en)
Inventor
M·K·张
H·申
K·郑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials Korea Ltd
Original Assignee
Rohm and Haas Electronic Materials Korea Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials Korea Ltd filed Critical Rohm and Haas Electronic Materials Korea Ltd
Publication of CN116360212A publication Critical patent/CN116360212A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/52Amides or imides
    • C08F220/54Amides, e.g. N,N-dimethylacrylamide or N-isopropylacrylamide
    • C08F220/58Amides, e.g. N,N-dimethylacrylamide or N-isopropylacrylamide containing oxygen in addition to the carbonamido oxygen, e.g. N-methylolacrylamide, N-(meth)acryloylmorpholine
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L101/00Compositions of unspecified macromolecular compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/24Homopolymers or copolymers of amides or imides
    • C08L33/26Homopolymers or copolymers of acrylamide or methacrylamide
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • G03F7/343Lamination or delamination methods or apparatus for photolitographic photosensitive material

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Materials For Photolithography (AREA)
  • Polyesters Or Polycarbonates (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种光致抗蚀剂底层组合物,其包含第一聚合物,该第一聚合物包含衍生自N‑(烷氧基甲基)(甲基)丙烯酰胺单体的第一结构单元;包含芳香族基团、杂环基团、酯基团、酰胺基团或其组合的第二结构单元,其中该第二结构单元进一步包含可交联基团;其中该第一聚合物包含该第二结构单元,该光致抗蚀剂底层组合物进一步包含含有该第二结构单元的第二聚合物,或其组合,热酸产生剂;以及溶剂。

Description

光致抗蚀剂底层组合物
技术领域
本发明总体上涉及制造电子装置的领域,并且更具体地涉及用于半导体制造的材料的领域。
背景技术
光致抗蚀剂底层组合物在半导体工业中用作集成电路制造的先进技术节点中的光刻的蚀刻掩模。这些组合物通常用在三层和四层光致抗蚀剂集成方案中,其中将有机或含硅的减反射涂层和可图案化的光致抗蚀剂膜层布置在底层上。
理想的光致抗蚀剂底层材料应具有某些特定特征:它应该能够通过旋涂工艺浇铸到衬底上,应该在加热时热固化,具有低脱气和升华,应该可溶于普通溶剂中以具有良好的旋转筒相容性(spin bowl compatibility),应该具有适当的n值和k值以与减反射涂层一起工作以赋予光致抗蚀剂成像所需的低反射率,并且应该具有高的热稳定性以避免在随后的处理步骤期间被损坏。除了这些要求之外,理想的光致抗蚀剂底层材料必须在衬底上旋涂和热固化时提供平坦的膜,该膜具有形貌和对位于光致抗蚀剂底层膜上方和下方的含硅的层的足够的干法蚀刻选择性,以便以精确的方式将光图案转移到最终的衬底中。
因此,仍然需要新的下层材料,如光致抗蚀剂底层材料,其具有改进的对下面衬底的粘附性、固化后的耐溶剂性、和高热稳定性(例如,固化期间减少的升华)。
发明内容
提供了一种光致抗蚀剂底层组合物,其包含第一聚合物,该第一聚合物包含衍生自N-(烷氧基甲基)(甲基)丙烯酰胺单体的第一结构单元;包含芳香族基团、杂环基团、酯基团、酰胺基团或其组合的第二结构单元,其中该第二结构单元进一步包含可交联基团;其中该第一聚合物包含该第二结构单元,该光致抗蚀剂底层组合物进一步包含含有该第二结构单元的第二聚合物,或其组合,热酸产生剂;以及溶剂。
还提供了一种经涂覆的衬底,其包括:布置在衬底上的光致抗蚀剂底层组合物的层;和布置在该光致抗蚀剂底层组合物的层上的第二层。
还另一个方面提供了一种形成图案的方法,该方法包括:将光致抗蚀剂底层组合物的层施加在衬底上;将所施加的光致抗蚀剂底层组合物固化以形成光致抗蚀剂底层;以及在该光致抗蚀剂底层上形成光致抗蚀剂层。
附图说明
图1A至1D示出了如本文所提供的分别涂覆有实例1、对比实例1、实例6、和对比实例3的底层组合物的图案的显微镜图像。
图2A示出了如本文所述的使用实例1和对比实例1的底层组合物获得的图案的扫描电子显微镜(SEM)结果。
图2B示出了如本文所述的使用实例6和对比实例3的底层组合物获得的图案的SEM结果。
具体实施方式
现在将详细参考示例性实施例,其实例在本说明书中展示。就这一点而言,本示例性实施例可以具有不同的形式并且不应该被解释为限制于本文所示的描述。因此,下面仅通过参考附图来描述示例性实施例,以解释本说明书的多个方面。如本文使用的,术语“和/或”包括相关列出项中的一个或多个的全部组合。当如“……中的至少一个/种”的表述在元件列表之前时,其修饰整个元件列表并且不修饰列表中的单个元件。
如本文使用的,术语“一个/种(a/an)”和“该/所述(the)”不表示数量的限制,并且除非在本文中以其他方式指出或与上下文明显矛盾,否则被解释为包括单数和复数二者。除非另外明确指出,否则“或”意指“和/或”。本文所公开的全部范围包括端点,并且这些端点彼此可独立组合。后缀“(s)”旨在包括其修饰的术语的单数和复数二者,由此包括至少一个所述术语。“任选的”或“任选地”意指随后描述的事件或情况可能发生或可能不发生,并且该描述包括该事件发生的实例以及其没有发生的实例。术语“第一”、“第二”和类似术语在本文不表示顺序、数量、或重要性,而是用于将一个元件与另一个进行区分。当一个元件被称为是“在”另一个元件“之上”时,它可以与该另一个元件直接接触或插入元件可能存在于其间。相比之下,当一个元件被称为是“直接在”另一个元件“之上”时,不存在插入元件。应当理解,可以在各方面中以任何合适的方式来组合所描述的方面的组分、要素、限制和/或特征。
除非另有定义,否则本文使用的所有术语(包括技术和科学术语)均具有与本发明所属领域普通技术人员所通常理解的相同含义。进一步将理解,术语(如常用词典中定义的那些)应被解释为具有与其在相关领域和本公开的上下文中的含义一致的含义,并且除非本文明确如此定义,否则将不会被解释为理想化或过于正式的意义。
如本文使用的,“光化射线”或“辐射”意指例如汞灯的明线光谱,由准分子激光所代表的远紫外线、极紫外线(EUV光)、X射线、粒子射线(如电子束和离子束)等。另外,在本发明中,“光”意指光化射线或辐射。氟化氪激光器(KrF激光器)是特殊类型的准分子激光器,有时称为激基络合物激光器。“准分子”是“激发二聚体”的缩写,而“激基络合物”是“激发络合物”的缩写。准分子激光器使用稀有气体(氩气、氪气或氙气)和卤素气体(氟气或氯气)的混合物,它们在适当的电刺激和高压条件下会在紫外线范围中发射相干的受激辐射(激光)。此外,除非另有说明,否则本说明书中的“暴露”不仅包括通过汞灯的暴露、由准分子激光代表的远紫外线、X射线、极紫外线(EUV光)等,而且还包括用粒子射线(如电子束和离子束)进行书写(writing)。
如本文使用的,术语“烃”是指具有至少一个碳原子和至少一个氢原子的有机化合物或基团;“烷基”是指直链或支链的饱和的烃基团,其具有指定的碳原子数并且具有为1的化合价;“亚烷基”是指具有为2的化合价的烷基;“羟烷基”是指被至少一个羟基(-OH)取代的烷基;“烷氧基”是指“烷基-O-”;“羧基”和“羧酸基团”是指具有式“-C(O)OH”的基团;“环烷基”是指具有其中全部环成员是碳的一个或多个饱和环的单价基团;“亚环烷基”是指具有为2的化合价的环烷基;“烯基”是指具有至少一个碳碳双键的直链或支链的单价烃基团;“烯氧基”是指“烯基-O-”;“亚烯基”是指具有为2的化合价的烯基;“环烯基”是指具有至少三个碳原子、具有至少一个碳碳双键的非芳香族环状的二价烃基团;“炔基”是指具有至少一个碳碳三键的单价烃基团;术语“芳香族基团”是指满足休克尔规则(Huckel’s Rule)(4n+2π电子)且环中包括碳原子的单环或多环芳香族环体系;术语“杂芳香族基团”是指包括一个或多个代替环中一个或多个碳原子的杂原子(例如1-4个杂原子)的芳香族基团;“芳基”是指单价单环或多环芳香族环体系,其中每一个环成员都是碳,并且可以包括具有稠合到至少一个环烷基或杂环烷基环上的芳香族环的基团;“亚芳基”是指具有为2的化合价的芳基;“烷基芳基”是指已被烷基取代的芳基;“芳基烷基”是指已被芳基取代的烷基;“芳氧基”是指“芳基-O-”;并且“芳硫基”是指“芳基-S-”。
前缀“杂”意指该化合物或基团包括为代替碳原子的杂原子的至少一个成员(例如,1、2、3、或4、或更多个杂原子),其中该一个或多个杂原子各自独立地是N、O、S、Si、或P;“含杂原子的基团”是指包括至少一个杂原子的取代基;术语“杂环烷基”是指具有作为代替碳的环成员的至少一个杂原子的环烷基;并且术语“亚杂环烷基”是指具有为2的化合价的杂环烷基。术语“杂芳基”意指具有1-4个杂原子(如果是单环的话)、1-6个杂原子(如果是二环的话)、或1-9个杂原子(如果是三环的话)的4-8元单环的、8-12元二环的、或11-14元三环的芳香族环体系。
前缀“卤代”意指包含代替氢原子的氟、氯、溴、或碘取代基中一个或多个的基团。术语“卤素”意指氟(氟代)、氯(氯代)、溴(溴代)、或碘(碘代)的单价取代基。可以存在卤素基团(例如溴和氟)的组合或仅氟基团。术语“取代的C1-8卤代烷基”是指被至少一个卤素取代的C1-8烷基,并且进一步被一个或多个不是卤素的其他取代基团取代。
除非另外明确提供,否则前述取代基团中的每一者可以是任选地取代的。术语“任选地取代的”是指是取代或未取代的。“取代的”意指化学结构或基团的至少一个氢原子被另一个典型地为单价的末端取代基团取代,前提是不超过所指定的原子的正常价。当取代基是氧代(即,O)时,则碳原子上的两个孪位氢原子被末端氧代基团替代。进一步注意,氧代基团经由双键键合至碳以形成羰基(C=O),其中该羰基在本文中表示为-C(O)-。取代基或变量的组合是可允许的。在“取代的”位置上可存在的示例性取代基基团包括但不限于硝基(-NO2)、氰基(-CN)、羟基(-OH)、氧代基(O)、氨基(-NH2)、单-或二-(C1-6)烷基氨基、烷酰基(如C2-6烷酰基如酰基)、甲酰基(-C(O)H)、羧酸或其碱金属或铵盐;酯(包括丙烯酸酯、甲基丙烯酸酯和内酯)如C2-6烷基酯(-C(O)O-烷基或-OC(O)-烷基)和C7-13芳基酯(-C(O)O-芳基或-OC(O)-芳基);酰胺基(-C(O)NR2,其中R是氢或C1-6烷基)、甲酰胺基(-CH2C(O)NR2,其中R是氢或C1-6烷基)、卤素、巯基(-SH)、C1-6烷硫基(-S-烷基)、硫氰基(-SCN)、C1-6烷基、C2-6烯基、C2-6炔基、C1-6卤代烷基、C1-9烷氧基、C1-6卤代烷氧基、C3-12环烷基、C5-18环烯基、C2-18杂环烯基、具有至少一个芳香族环的C6-12芳基(例如,苯基、联苯基、萘基等,每个环是取代或未取代的芳香族的)、具有1至3个单独或稠合环以及从6至18个环碳原子的C7-19芳基烷基、具有1至3个单独或稠合环以及从6至18个环碳原子的芳基烷氧基、C7-12烷基芳基、C3-12杂环烷基、C3-12杂芳基、C1-6烷基磺酰基(-S(O)2-烷基)、C6-12芳基磺酰基(-S(O)2-芳基)、或甲苯磺酰基(CH3C6H4SO2-)。
如本文使用的,当未另外提供定义时,“二价连接基团”是指包括-O-、-S-、-Te-、-Se-、-C(O)-、C(O)O-、-N(R)-、-C(O)N(R)-、-S(O)-、-S(O)2-、-C(S)-、-C(Te)-、-C(Se)-、取代或未取代的C1-30亚烷基、取代或未取代的C3-30亚环烷基、取代或未取代的C3-30亚杂环烷基、取代或未取代的C6-30亚芳基、取代或未取代的C3-30亚杂芳基、或其组合中的一个或多个的二价基团,其中每个R独立地是氢、取代或未取代的C1-20烷基、取代或未取代的C1-20杂烷基、取代或未取代的C6-30芳基、或取代或未取代的C3-30杂芳基。典型地,二价连接基团包括-O-、-S-、-C(O)-、-C(O)O-、-N(R’)-、-C(O)N(R)-、-S(O)-、-S(O)2-、取代或未取代的C1-30亚烷基、取代或未取代的C3-30亚环烷基、取代或未取代的C3-30亚杂环烷基、取代或未取代的C6-30亚芳基、取代或未取代的C3-30亚杂芳基、或其组合中的一个或多个,其中R’是氢、取代或未取代的C1-20烷基、取代或未取代的C1-20杂烷基、取代或未取代的C6-30芳基、或取代或未取代的C3-30杂芳基。
如本文使用的,术语“(甲基)丙烯酸”包括丙烯酸和甲基丙烯酸两种物质(即丙烯酸和甲基丙烯酸单体),并且术语“(甲基)丙烯酸酯”包括丙烯酸酯和甲基丙烯酸酯两种物质(即丙烯酸酯和甲基丙烯酸酯单体)。
有机底层膜可以用于在各种图案转移和蚀刻工艺期间保护下面的衬底。通常将这些膜直接流延并固化在无机衬底(即,TiN)上。在这些情况下,希望底层膜在所有随后的加工步骤期间对于衬底有足够的粘附性,以保护衬底免受其他破坏性条件。常见的湿法蚀刻工艺涉及将衬底浸没到腐蚀性溶剂浴中。未充分粘附到衬底上的底层膜在其浸没时可能会分层,导致下面的无机衬底的暴露和损坏。
将衍生自N-(烷氧基甲基)(甲基)丙烯酰胺的结构单元结合到光致抗蚀剂底层材料的聚合物单元中可以明显改进固化后的耐溶剂性以及热稳定性,而没有显著损害耐蚀刻性和反射率参数,并且在一些情况下改进坍塌裕度。当用于光致抗蚀剂底层组合物中时,衍生自N-(烷氧基甲基)(甲基)丙烯酰胺的结构单元可以形成交联物和/或可以是可交联的,优选地其中聚合物在没有辐照的情况下是可交联的。本发明的光致抗蚀剂底层组合物进一步包含热酸产生剂(TAG)并且优选地不包含光酸产生剂(PAG)。交联可以经由交联剂或通过自交联进行。
根据本发明的方面,光致抗蚀剂底层组合物包含第一聚合物,该第一聚合物包含衍生自N-(烷氧基甲基)(甲基)丙烯酰胺单体的第一结构单元;包含芳香族基团、杂环基团、酯基团、酰胺基团或其组合的第二结构单元,其中该第二结构单元进一步包含可交联基团;热酸产生剂;以及溶剂。在该光致抗蚀剂底层组合物中,该第一聚合物可以包含该第二结构单元,或者该光致抗蚀剂底层组合物可以进一步包含含有该第二结构单元的第二聚合物,或者该第一聚合物和该第二聚合物二者可以各自包含该第二结构单元。
在一些实施例中,第一聚合物可以包含衍生自N-(烷氧基甲基)(甲基)丙烯酰胺单体的第一结构单元以及含有芳香族基团、杂环基团、酯基团、酰胺基团或其组合的第二结构单元,其中该第二结构单元进一步包含可交联基团。
如本文使用的,“可交联基团”是指包括氧、氮或硫的亲核基团,如羟基(-OH)、羧基(-C(O)OH)、胺(-NH2)、巯基(-SH)、乙烯基(例如,C2-30烯基)、或酰胺基(-C(O)NH2)。可交联基团的其他实例可以包括如环氧基和内酯等的那些,例如环氧基、β-丙内酯、γ-丁内酯、或δ-戊内酯。可交联基团可以直接地(经由单键)或通过二价连接基团键合至芳香族基团和/或杂环基团。
在一些实施例中,光致抗蚀剂底层组合物可以包含含有衍生自N-(烷氧基甲基)(甲基)丙烯酰胺单体的第一结构单元的第一聚合物以及第二聚合物,其中该第二聚合物包含含有芳香族基团、杂环基团、或其组合的第二结构单元,其中该第二结构单元进一步包含可交联基团。
在还其他实施例中,第一聚合物可以包含衍生自N-(烷氧基甲基)(甲基)丙烯酰胺单体的第一结构单元以及含有第一芳香族基团、第一杂环基团、第一酯基团、第一酰胺基团或其组合的第二结构单元,并且其中第二结构单元进一步包含第一可交联基团,并且光致抗蚀剂底层组合物可以进一步包含第二聚合物,该第二聚合物包含第三结构单元,其中该第三结构单元包含第二芳香族基团、第二杂环基团、第二酯基团、第二酰胺基团或其组合,并且其中该第三结构单元进一步包含第二可交联基团。应当理解,第一芳香族基团和第二芳香族基团可以是相同或不同的,第一杂环基团和第二杂环基团可以是相同或不同的,第一酯基团和第二酯基团可以是相同或不同的,第一酰胺基团和第二酰胺基团可以是相同或不同的,并且第一可交联基团和第二可交联基团可以是相同或不同的。
第二结构单元包含芳香族基团、杂环基团、酯基团、酰胺基团或其组合。如本文使用的,“酯基团”指示具有式-C(O)O-或-O(CO)-的基团。如本文使用的,“酰胺基团”指示具有式-C(O)NR-或-RNC(O)-的基团,其中R是氢、取代或未取代的C1-20烷基、或取代或未取代的C6-60芳基。如本文使用的,“芳香族基团”是指单环或多环C6-60芳香族基团。当C6-60芳香族基团是多环的时,一个或多个环基团可以是稠合的(如萘基等)、或直接连接的(如联芳基、联苯基等)。在实施例中,多环芳香族基团可以包括稠合的和直接连接的环或环基团的组合(如联萘基等)。如本文使用的,“杂环基团”是指单环或多环C3-60杂芳香族基团或单环或多环C3-60杂环烷基。当C3-60杂芳香族基团和/或C3-60杂环烷基是多环的时,环或环基团可以是稠合的、直接连接的、或稠合的和直接连接的环或环基团的组合。
在一些实施例中,第一聚合物可以包含衍生自N-(烷氧基甲基)(甲基)丙烯酰胺单体的第一结构单元以及含有C6-60芳基和可交联基团的第二结构单元。C6-60芳基可以进一步被除该可交联基团之外的其他取代基取代,或者除了该可交联基团之外可以是未取代的。示例性取代基可以包括取代或未取代的C1-30烷基、取代或未取代的C1-30杂烷基、取代或未取代的C3-30环烷基、取代或未取代的C1-30杂环烷基、取代或未取代的C2-30烯基、取代或未取代的C2-30炔基、取代或未取代的C6-30芳基、取代或未取代的C7-30芳基烷基、取代或未取代的C7-30烷基芳基、取代或未取代的C3-30杂芳基、取代或未取代的C4-30烷基杂芳基、或取代或未取代的C4-30杂芳基烷基中的一个或多个。可交联基团可以与C6-60芳基的碳原子直接连接,或者可交联基团可以经由C6-60芳基的二价连接基团连接(即,作为至聚合物主链的侧基)。
在一些实施例中,第一聚合物可以包含衍生自N-(烷氧基甲基)(甲基)丙烯酰胺单体的第一结构单元,并且光致抗蚀剂底层组合物可以进一步包含第二聚合物,该第二聚合物包含第二结构单元。例如,第二聚合物可以包含包括C3-60杂环烷基和可交联基团的第二结构单元。C3-60杂环烷基可以进一步被除该可交联基团之外的其他取代基取代,或者除了包括该可交联基团之外可以是未取代的。示例性的另外的取代基可以包括取代或未取代的C1-30烷基、取代或未取代的C1-30杂烷基、取代或未取代的C3-30环烷基、取代或未取代的C1-30杂环烷基、取代或未取代的C2-30烯基、取代或未取代的C2-30炔基、取代或未取代的C6-30芳基、取代或未取代的C7-30芳基烷基、取代或未取代的C7-30烷基芳基、取代或未取代的C3-30杂芳基、取代或未取代的C4-30烷基杂芳基、或取代或未取代的C4-30杂芳基烷基中的一个或多个。可交联基团可以与C3-60杂环烷基的原子直接连接(即,经由单键),或者可交联基团可以经由二价连接基团连接至C3-60杂环烷基(即,作为至聚合物主链的侧基)。
第一结构单元衍生自N-(烷氧基甲基)(甲基)丙烯酰胺单体,其可以由式(1)表示:
Figure BDA0004022256270000081
在式(1)中,Ra是氢、氟、氰基、或取代或未取代的C1-10烷基。优选地,Ra是氢,氟,氰基,或取代或未取代的C1-5烷基、典型地甲基。
在式(1)中,R1是氢、或取代或未取代的C1-10烷基。典型地,R1是氢或甲基。在一些实施例中,R1不是氢。
在式(1)中,R2和R3各自独立地是氢、取代或未取代的C1-30烷基、取代或未取代的C1-30杂烷基、取代或未取代的C3-30环烷基、取代或未取代的C2-30杂环烷基、取代或未取代的C2-30烯基、取代或未取代的C6-30芳基、取代或未取代的C7-30芳基烷基、取代或未取代的C7-30烷基芳基、取代或未取代的C3-30杂芳基、取代或未取代的C4-30杂芳基烷基、或取代或未取代的C4-30烷基杂芳基。优选地,R2和R3各自独立地是氢或取代或未取代的C1-10烷基、典型地甲基。在一些方面,R2和R3中的至少一个是氢,并且例如,R2和R3可以都是氢。R2和R3任选地可以经由单键或二价连接基团形成环,其中该环是取代或未取代的。
R2和R3中的每一个任选地进一步包含作为其结构的一部分的二价连接基团。例如,R2和R3中的每一个任选地可以进一步包括作为其结构的一部分的选自-O-、-C(O)-、-C(O)O-、-S-、-S(O)2-、-N(R)-、或-C(O)N(R’)-的一个或多个二价连接基团,其中R可以是氢、取代或未取代的C1-20烷基、取代或未取代的C3-20环烷基、或取代或未取代的C3-20杂环烷基。
R4是氢、取代或未取代的C1-20烷基、取代或未取代的C3-20环烷基、取代或未取代的C2-20杂环烷基、取代或未取代的C6-24芳基、取代或未取代的C7-25芳基烷基、取代或未取代的C7-25烷基芳基、取代或未取代的C3-20杂芳基、取代或未取代的C4-20杂芳基烷基、或取代或未取代的C4-20烷基杂芳基。
R2或R3之一任选地可以与R4一起经由单键或二价连接基团形成杂环,其中该杂环是取代或未取代的。
示例性的N-(烷氧基甲基)(甲基)丙烯酰胺单体可以包括以下中的一种或多种:
Figure BDA0004022256270000091
Figure BDA0004022256270000101
其中Ra是如式(1)中所定义的。
衍生自N-(烷氧基甲基)(甲基)丙烯酰胺单体的第一结构单元典型地以基于第一聚合物中的总结构单元从5至100摩尔百分比(mol%)、更典型地从5至75mol%、还更典型地从5至50mol%的量存在于该第一聚合物中。
第二结构单元可以包括芳香族基团并且可以衍生自具有式(2)的单体:
Figure BDA0004022256270000102
其中每个Rb可以是氢、氟、氰基、或取代或未取代的C1-10烷基。优选地,Rb可以是氢,氟,或取代或未取代的C1-5烷基、典型地甲基。
在式(2)中,n是0或1。应当理解,当n是0时,则L1直接键合至式(2)中烯基的碳原子。
在式(2)中,L1表示单键或二价连接基团。例如,L1可以是选自以下的二价连接基团:任选地取代的脂肪族烃(如C1-6亚烷基或C3-20亚环烷基)、和芳香族烃、及其组合,任选地具有选自-O-、-C(O)-、-C(O)O-、-S-、-S(O)2-、-NR102-、或-C(O)N(R102)-的一个或多个连接部分,其中R102选自氢和任选地取代的C1-10烷基。
在式(2)中,当n是0且L1是单键时,应当理解基团Ar1直接键合至烯基的碳原子。
在式(2)中,Ar1是取代或未取代的C6-60芳基,典型地取代或未取代的C6-14芳基。
在式(2)中,每个L2独立地是单键或二价连接基团。L2的示例性二价连接基团包括取代或未取代的C1-30亚烷基、取代或未取代的C1-30亚杂烷基、取代或未取代的C3-30亚环烷基、取代或未取代的C3-30亚杂环烷基、取代或未取代的C6-30亚芳基、取代或未取代的C3-30亚杂芳基、-O-、-C(O)-、-C(O)O-、-S-、-S(O)2-、-N(R103)-、或--C(O)N(R104)-中的一个或多个,其中R103和R104各自独立地可以是氢、取代或未取代的C1-20烷基、取代或未取代的C3-20环烷基、或取代或未取代的C3-20杂环烷基。
在式(2)中,每个Z独立地是可交联基团。优选地,每个Z独立地是羟基、羧基、巯基、氨基、酰胺基、环氧基、或内酯,典型地羟基、巯基、或环氧基,并且更典型地羟基。
在式(2)中,y是从1至3的整数,优选地y是从1至2的整数,并且典型地y是1。
具有式(2)的单体的非限制性实例可以包括以下:
Figure BDA0004022256270000111
其中Rb是如式(2)中所定义的。
包含第二结构单元的聚合物可以包含基于该聚合物的总重复单元从2至100mol%、典型地10至100mol%、更典型地50至100mol%的量的衍生自具有式2的单体的重复单元。当第一聚合物包含第二结构单元时,该第二结构单元典型地以基于该第一聚合物中的总结构单元从5至100mol%、更典型地从5至75mol%、还更典型地从5至50mol%的量存在于该第一聚合物中。
本发明的非限制性示例性第一聚合物包括以下中的一种或多种:
Figure BDA0004022256270000121
Figure BDA0004022256270000131
其中a、b、c和d表示第一聚合物的相应的重复单元的摩尔分数。
在一些实施例中,第二结构单元可以包含杂环基团并且可以是包含可交联基团的可交联聚酯聚合物。例如,第二聚合物可以包含异氰尿酸酯重复单元和可交联基团。在一些方面,可交联基团可以选自羟基、羧基、巯基、氨基、环氧基、烷氧基、酰胺基、乙烯基、或其组合。
优选的是,当使用时,第二聚合物是包含一个或多个衍生自具有式(3)的单体的异氰尿酸酯重复单元的聚合物:
Figure BDA0004022256270000132
在式(3)中,K、L和M各自独立地是直链或支链的C1-10烃基、C1-10烷氧基羰基、C1-10烷酰氧基,其各自任选地被羧酸基团取代,或任选地被C1-5烷氧基羰基或C1-5取代的烷氧基取代的直链或支链的C1-10羟烷基。
在式(3)中,对于K、L和M,C1-10烃基、C1-10烷氧基羰基、C1-10烷酰氧基和C1-10羟烷基中的每一个可以任选地被卤素、氨基、巯基、环氧基、酰胺基、C1-5烷基、C3-8环烷基、C3-20杂环烷基、C2-5烯基、C1-5烷氧基、C2-5烯氧基、C6-12芳基、C6-12芳氧基、C7-13烷基芳基、或C7-13烷基芳氧基中的至少一个取代。C3-8环烷基和C3-20杂环烷基可以任选地在至少一个环碳原子上被氧代基(=O)取代。衍生自具有式(2)的单体的第一聚合物的至少一个氢原子被独立地选自羟基、羧基、巯基、氨基、环氧基、烷氧基、酰胺基、乙烯基或其组合的官能团取代。其中,羟基、羧基、或烷氧基是优选的。
在一些实施例中,第二结构单元可以包含杂环基团,该杂环基团包含衍生自由式(4)、式(5)表示的化合物或其组合的取代的氰尿酸酯结构单元:
Figure BDA0004022256270000141
在式(4)和(5)中,R5和R6各自独立地是氢、取代或未取代的C1-30烷基、取代或未取代的C3-30环烷基、取代或未取代的C3-30杂环烷基、取代或未取代的C6-30芳基、或取代或未取代的C3-30杂芳基。
在式(4)和(5)中,R7是氢、-C(O)OH、取代或未取代的C1-30烷基、取代或未取代的C2-30烯基、取代或未取代的C2-30炔基、取代或未取代的C2-30烷酰基、取代或未取代的C1-C30烷氧基、取代或未取代的C1-C30烷硫基、取代或未取代的C1-C30烷基亚磺酰基、取代或未取代的C1-C30烷基磺酰基、取代或未取代的C2-C30烷氧基羰基、取代或未取代的C3-20环烯基、取代或未取代的C3-20杂环烯基、取代或未取代的C6-C30芳基、取代或未取代的C7-C30烷基芳基、取代或未取代的C7-C30芳基烷基、取代或未取代的C3-30杂芳基、取代或未取代的C4-30烷基杂芳基、或取代或未取代的C4-30杂芳基烷基。
在式(4)和(5)中,X和X’各自独立地是氢、或取代或未取代的C1-10烷基。优选地,X和X’各自是氢。
在式(4)和(5)中,n1、n2、m1、m2、和m3各自独立地是从1至10的整数。优选地,n1、n2、m1、m2、和m3中的每一个独立地可以是从1至4、典型地1或2的整数。
包括包含取代的氰尿酸酯结构单元的第二结构单元的第二聚合物可以通过常规缩聚技术来形成,这些技术例如像描述于Pappas等人,“Organic Coatings,Science andTechnology[有机涂料,科学与技术],”第246-257页(John Wiley&Sons[约翰·威利父子公司],1999,第2版)及其中的参考文献和/或Houben-Weyl,“Methoden der OrganischenChemie,Band E20,Makromolekulare Soffe,Polyester[聚酯],”第1405-1429页.(GeorgThieme Verlag,Stuttgart[乔治蒂姆出版社,斯图加特]1987)及其中的参考文献。一方面,将二醇或多元醇与二元羧酸或多元羧酸装入到常规的聚合容器中,并在从约100℃至280℃反应数小时。任选地,可以使用酯化催化剂以减少反应时间。还应理解,可以使用多元羧酸的酯化衍生物(如多元羧酸的二甲基酯或酸酐)来制备聚酯。示例性多元醇和多元羧酸包括异氰尿酸酯多元醇和异氰尿酸酯多元羧酸。聚酯聚合物可以是直链或支链的。
合适的可用于形成第二聚合物的二元羧酸或多元羧酸、或其相应的烷基酯包括饱和的以及不饱和的二元羧酸,例如像间苯二甲酸、马来酸、马来酸酐、丙二酸、富马酸、琥珀酸、琥珀酸酐、戊二酸、己二酸、2-甲基-1,6-己酸、庚二酸、辛二酸、十二烷二酸、邻苯二甲酸、邻苯二甲酸酐、5-叔丁基间苯二甲酸、四氢邻苯二甲酸酐、六氢邻苯二甲酸、六氢邻苯二甲酸酐、内亚甲基四氢邻苯二甲酸酐、壬二酸、癸二酸、四氯邻苯二甲酸酐、氯桥酸、间苯二甲酸、偏苯三酸酐、对苯二甲酸、萘二甲酸、环己烷-二甲酸、二聚脂肪酸、或这些酸中的任一种的酸酐、或其组合。
合适的二元醇和多元醇包括但不限于乙二醇、二乙二醇、三乙二醇和高级聚乙二醇、丙二醇、二丙二醇、三丙二醇和高级聚丙二醇、1,3-丙二醇、1,4-丁二醇及其他丁二醇、1,5-戊二醇及其他戊二醇、己二醇、癸二醇、以及十二烷二醇、甘油、三羟甲基丙烷、三羟甲基乙烷、新戊二醇、季戊四醇、环己烷二甲醇、二季戊四醇、1,2-二甲基-1,3-丙二醇、1,4-苄基二甲醇、2,4-二甲基-2-乙基己烷-1,3-二醇、异亚丙基双(对亚苯基-氧基丙醇-2)、4,4'-二羟基-2,2'-二苯丙烷、1,3-环己烷二甲醇、1,4-环己烷二甲醇(或1,3-环己烷二甲醇和1,4-环己烷二甲醇的混合物,可以是顺式或反式)、山梨糖醇等、或其组合。
当第二聚合物包含包括杂环基团的第二结构单元时,该第二结构单元典型地以基于该第二聚合物中的总结构单元从5至100mol%、更典型地从5至50mol%、还更典型地从5至30mol%的量存在于该第二聚合物中。例如,该第二结构单元可以包含杂环基团,该杂环基团包含从5至50mol%或从5至30mol%的衍生自由式(4)、式(5)表示的化合物或其组合的取代的氰尿酸酯结构单元。
应当理解,本文所述的聚合物,包含第一聚合物和第二聚合物,各自独立地可以任选地包含不同于上述重复单元的一种或多种附加的重复单元。该附加的重复单元可以包括例如出于调节光致抗蚀剂底层组合物的特性(如蚀刻速率和溶解度)目的的一种或多种另外的单元。示例性的附加的单元可以包括(甲基)丙烯酸酯、乙烯基醚、乙烯基酮、和乙烯基酯中的一种或多种。聚合物中的一种或多种附加的重复单元(如果存在的话)典型地以基于相应的聚合物的总重复单元最高达99mol%、并且典型地从3至80mol%的量使用。
本发明的聚合物可以具有1,000至10,000,000克/摩尔(g/mol)、更典型地2,000至10,000g/mol的重均分子量(Mw)以及500至1,000,000g/mol的数均分子量(Mn)。适当地使用聚苯乙烯标准物通过凝胶渗透色谱法(GPC)确定分子量(Mw或Mn)。
本发明的合适的聚合物可以基于本申请实例中描述的程序并通过与本申请实例中描述的程序类比而容易地制备,这是本领域普通技术人员容易理解的。例如,可以使用合适的一种或多种溶剂和引发剂将对应于本文所述重复单元的一种或多种单体合并或分开进料,并在反应器中聚合。单体组合物可以进一步包含添加剂,如溶剂、聚合引发剂、固化催化剂(即,酸催化剂)等。例如,聚合物可以通过相应的单体在任何合适的条件下的聚合,如通过在有效的温度下加热、用有效的波长下的活化辐射进行辐照、或其组合。
光致抗蚀剂底层组合物可以进一步包含除了上述聚合物之外的一种或多种聚合物(“附加的聚合物”)。例如,光致抗蚀剂底层组合物可以进一步包含如上所述的附加的聚合物但组成不同。此外或可替代地,一种或多种附加的聚合物可以包括本领域众所周知的那些,例如,选自以下的一种或多种聚合物:聚丙烯酸酯、聚乙烯醚、聚酯、聚降冰片烯、聚缩醛、聚乙二醇、聚酰胺、聚丙烯酰胺、多酚、酚醛清漆、苯乙烯类聚合物、聚乙烯醇、其共聚物、及其组合。
光致抗蚀剂底层组合物进一步包含热酸产生剂(TAG)化合物以帮助光致抗蚀剂底层组合物的固化,例如在将光致抗蚀剂底层组合物施加至表面之后。本发明的光致抗蚀剂底层组合物可以包含引起光致抗蚀剂底层组合物在衬底表面上的固化的任何合适的TAG。
示例性热酸产生剂包括但不限于胺封端的强酸,如胺封端的磺酸,如胺封端的十二烷基苯磺酸。本领域技术人员还将理解的是,某些光酸产生剂能够在加热时释放酸并且可以用作热酸产生剂。
合适的TAG化合物可以包括例如甲苯磺酸硝基苄酯,如甲苯磺酸2-硝基苄酯、甲苯磺酸2,4-二硝基苄酯、甲苯磺酸2,6-二硝基苄酯、甲苯磺酸4-硝基苄酯;苯磺酸酯,如4-氯苯磺酸2-三氟甲基-6-硝基苄酯、4-硝基苯磺酸2-三氟甲基-6-硝基苄酯;酚磺酸酯,如4-甲氧基苯磺酸苯酯;有机酸的烷基铵盐,如10-樟脑磺酸、三氟甲基苯磺酸、全氟丁烷磺酸的三乙基铵盐;以及特定的鎓盐。多种芳香族(蒽、萘或苯衍生物)磺酸胺盐可以用作TAG,包括在美国专利号3,474,054、4,200,729、4.251,665和5,187,019中公开的那些。TAG的实例包括由美国康涅狄格州诺沃克金氏工业公司(King Industries,Norwalk,Conn.USA)以NACURE、CDX和K-PURE名称出售的那些,例如NACURE 5225、CDX-2168E、K-PURE 2678和KPURE 2700。可以使用此类TAG中的一种或多种。
可用于本发明的组合物的TAG化合物的量可以是例如基于光致抗蚀剂底层组合物的总固体从0.01至15wt%、并且典型地从0.01至10wt%。
在一些实施例中,光致抗蚀剂底层组合物可以进一步包含光酸产生剂(PAG)。合适的PAG包括例如:鎓盐,例如三苯基锍三氟甲烷磺酸盐、(对叔丁氧基苯基)二苯基锍三氟甲烷磺酸盐、三(对叔丁氧基苯基)锍三氟甲烷磺酸盐、三苯基锍对甲苯磺酸盐;硝基苄基衍生物,例如2-硝基苄基-对甲苯磺酸酯、2,6-二硝基苄基-对甲苯磺酸酯、和2,4-二硝基苄基-对甲苯磺酸酯;磺酸酯,例如1,2,3-三(甲磺酰基氧基)苯、1,2,3-三(三氟甲烷磺酰基氧基)苯、和1,2,3-三(对甲苯磺酰基氧基)苯;重氮甲烷衍生物,例如双(苯磺酰基)重氮甲烷、双(对甲苯磺酰基)重氮甲烷;乙二肟衍生物,例如双-O-(对甲苯磺酰基)-α-二甲基乙二肟、和双-O-(正丁烷磺酰基)-α-二甲基乙二肟;N-羟基酰亚胺化合物的磺酸酯衍生物,例如N-羟基琥珀酰亚胺甲烷磺酸酯、N-羟基琥珀酰亚胺三氟甲烷磺酸酯;以及含卤素的三嗪化合物,例如2-(4-甲氧基苯基)-4,6-双(三氯甲基)-1,3,5-三嗪、和2-(4-甲氧基萘基)-4,6-双(三氯甲基)-1,3,5-三嗪。可以使用此类PAG中的一种或多种。
在一些方面,光致抗蚀剂底层组合物不包含光酸产生剂。相应地,在这些实施例中,光致抗蚀剂底层组合物可以基本上不含PAG化合物。
光致抗蚀剂底层组合物可以进一步包含一种或多种交联剂,例如包含非环氧交联剂的交联剂。任何合适的交联剂可以进一步用于本发明的涂层组合物中,前提是此类交联剂具有至少2个、并且优选至少3个能够与光致抗蚀剂底层组合物中的官能团反应的部分。示例性的交联剂可以包括酚醛清漆树脂、三聚氰胺化合物、胍胺化合物、含异氰酸酯的化合物、苯并环丁烯、苯并噁嗪等,并且典型地是前述中具有2个或更多个、更典型地3个或更多个选自羟甲基、C1-10烷氧基甲基和C2-10酰氧基甲基的取代基中的任一个。合适的交联剂的实例包括以下示出的那些:
Figure BDA0004022256270000181
附加的交联剂在本领域中是众所周知的,并且从多个来源可商购。当存在时,可用于本发明的光致抗蚀剂底层组合物的此类附加的交联剂的量可以是例如基于光致抗蚀剂底层组合物的总固体从0.01至30wt%、并且优选从0.01至20wt%。
光致抗蚀剂底层组合物可以包括一种或多种任选的添加剂,包括例如表面活性剂、抗氧化剂等、或其组合。当存在时,每种任选的添加剂可以以如基于光致抗蚀剂底层组合物的总固体从0.01至10wt%的少量用于光致抗蚀剂底层组合物中。
典型的表面活性剂包括展现出两亲性质的那些,两亲性质意指其可以同时是亲水性的和疏水性的。两亲性表面活性剂具有一个或多个亲水性头基(其对于水具有强的亲和力)以及一个长疏水尾(其是亲有机性的且排斥水)。合适的表面活性剂可以是离子的(即阴离子的、或阳离子的)或非离子的。表面活性剂的另外的实例包括硅酮表面活性剂、聚(氧化烯)表面活性剂、以及含氟化合物表面活性剂。合适的非离子表面活性剂包括但不限于,辛基和壬基苯酚乙氧基化物,如TRITON X-114、X-100、X-45、X-15,以及支链的仲醇乙氧基化物,如TERGITOL TMN-6(陶氏化学公司(Dow Chemical Company),美国密歇根州米德兰)。还另外的示例性的表面活性剂包括,醇(伯醇和仲醇)乙氧基化物、胺乙氧基化物、葡糖苷、葡糖胺、聚乙二醇、聚(乙二醇-共-丙二醇),或公开于以下中的其他表面活性剂:Glen Rock,N.J的Manufacturers Confectioners Publishing Co.[糖果制造商出版公司]出版的2000年北美版的McCutcheon's Emulsifiers and Detergents[麦卡琴乳化剂和清洁剂]。为炔二醇衍生物的非离子表面活性剂也可以是合适的。此类表面活性剂可商购于宾夕法尼亚州阿伦敦的空气化工产品有限公司(Air Products and Chemicals,Inc.)并且以商品名SURFYNOL和DYNOL出售。附加的合适的表面活性剂包括其他聚合物化合物,如三嵌段EO-PO-EO共聚物PLURONIC 25R2、L121、L123、L31、L81、L101和P123(巴斯夫公司(BASF,Inc.))。
合适的抗氧化剂包括例如,基于苯酚的抗氧化剂、由有机酸衍生物构成的抗氧化剂、含硫抗氧化剂、基于磷的抗氧化剂、基于胺的抗氧化剂、由胺-醛缩合物构成的抗氧化剂以及由胺-酮缩合物构成的抗氧化剂。基于苯酚的抗氧化剂的实例包括取代的苯酚,如1-氧基-3-甲基-4-异丙基苯、2,6-二-叔丁基苯酚、2,6-二-叔丁基-4-乙基苯酚、2,6-二-叔丁基-4-甲基苯酚、4-羟基甲基-2,6-二-叔丁基苯酚、丁基羟基苯甲醚、2-(1-甲基环己基)-4,6-二甲基苯酚、2,4-二甲基-6-叔丁基苯酚、2-甲基-4,6-二壬基苯酚、2,6-二-叔丁基-α-二甲基氨基-对甲酚、6-(4-羟基-3,5-二-叔丁基苯胺基)2,4-双辛基-硫代-1,3,5-三嗪、正十八烷基-3-(4'-羟基-3',5'-二-叔丁基苯基)丙酸酯、辛基化苯酚、经芳烷基取代的苯酚、烷基化对甲酚和受阻酚;双酚、三酚和多酚,如4,4'-双酚、4,4'-亚甲基-双-(二甲基-4,6-苯酚)、2,2'-亚甲基-双-(4-甲基-6-叔丁基苯酚)、2,2'-亚甲基-双-(4-甲基-6-环己基苯酚)、2,2'-亚甲基-双-(4-乙基-6-叔丁基苯酚)、4,4'-亚甲基-双-(2,6-二叔丁基苯酚)、2,2'-亚甲基-双-(6-α-甲基-苄基-对甲酚)、亚甲基交联的多价烷基酚、4,4'-亚丁基-双-(3-甲基-6-叔丁基苯酚)、1,1-双-(4-羟基苯基)-环己烷、2,2'-二羟基-3,3'-二-(α-甲基环己基)-5,5'-二甲基二苯基甲烷、烷基化双酚、受阻双酚、1,3,5-三甲基-2,4,6-三(3,5-二叔丁基-4-羟基苄基)苯、三-(2-甲基-4-羟基-5-叔丁基苯基)丁烷、和四-[亚甲基-3-(3',5'-二叔丁基-4'-羟苯基)丙酸酯]甲烷。合适的抗氧化剂是可商购的,例如,IrganoxTM抗氧化剂(汽巴特种化学品公司(Ciba Specialty Chemicals Corp.))。
光致抗蚀剂底层组合物包含溶剂。溶剂组分可以是单一溶剂或可以包括两种或更多种不同溶剂的混合物。适当地,多种溶剂中的每一种可以彼此混溶。合适的溶剂包括例如一种或多种氧代异丁酸酯,特别是甲基-2-羟基异丁酸酯、2-羟基异丁酸、和乳酸乙酯;一种或多种二醇醚,特别是2-甲氧基乙基醚(二乙二醇二甲醚)、乙二醇单甲醚、和丙二醇单甲醚;一种或多种具有醚和羟基部分二者的溶剂,特别是甲氧基丁醇、乙氧基丁醇、甲氧基丙醇和乙氧基丙醇;一种或多种烷基酯,特别是甲基溶纤剂乙酸酯、乙基溶纤剂乙酸酯、丙二醇单甲醚乙酸酯、和二丙二醇单甲醚乙酸酯以及其他溶剂如一种或多种二元酯;和/或其他溶剂,如碳酸丙烯酯和γ-丁内酯中的一种或多种。
所希望的光致抗蚀剂底层组合物的总固体将取决于多种因素,如所希望的最终的层厚度。典型地,光致抗蚀剂底层组合物的总固体可以是基于该光致抗蚀剂底层组合物的总重量从0.1至20wt%,例如从0.1至10wt%、更典型地从0.1至5wt%。
光致抗蚀剂底层组合物可以按照已知程序制备。例如,可以通过以任何顺序组合第一材料、第二材料、添加剂、溶剂以及任何任选的组分制备光致抗蚀剂底层组合物。光致抗蚀剂底层组合物可以原样使用,或者可以在涂覆于衬底上之前经受纯化或稀释。纯化可以涉及例如离心、过滤、蒸馏、倾析、蒸发、用离子交换珠粒处理等中的一种或多种。
本发明的图案化方法包括在衬底上施加光致抗蚀剂底层组合物的层;将所施加的光致抗蚀剂底层组合物固化以形成光致抗蚀剂底层;以及在该光致抗蚀剂底层上形成光致抗蚀剂层。该方法可以进一步包括图案化该光致抗蚀剂层,并将图案从图案化的光致抗蚀剂层转移至该光致抗蚀剂底层以及该光致抗蚀剂底层下方的层。在一些实施例中,该方法可以进一步包括将光致抗蚀剂层以图案方式暴露于活化辐射的步骤;以及使所暴露的光致抗蚀剂层显影以提供抗蚀剂浮雕图像。在一些方面,该方法可以进一步包括在形成光致抗蚀剂层之前,在该光致抗蚀剂底层上方形成含硅的层、有机减反射涂层、或其组合。在一些方面,该方法可以进一步包括在暴露的光致抗蚀剂层显影之后并在将该图案转移至该光致抗蚀剂底层步骤之前,将该图案转移至该含硅的层、该有机减反射涂层、或其组合。
在这些图案化方法中可以使用各种各样的衬底,其中电子装置衬底是典型的。合适的衬底包括例如,封装衬底如多芯片模块;平板显示器衬底;集成电路衬底;用于包括有机发光二极管(OLED)的发光二极管(LED)的衬底;半导体晶片;多晶硅衬底;等。合适的衬底可以呈晶片的形式,如用于制造集成电路、光学传感器、平板显示器、集成光学电路、和LED的那些。如本文使用的,术语“半导体晶片”旨在涵盖“电子装置衬底”、“半导体衬底”、“半导体装置”以及用于各种互连水平的各种封装物,包括单芯片晶片、多芯片晶片、用于各种水平的封装物、或其他需要焊接连接的组件。此类衬底可以是任何合适的尺寸。典型的晶片衬底直径是200至300mm,但是根据本发明可以适当地采用具有更小和更大直径的晶片。如本文使用的,术语“半导体衬底”包括具有一个或多个半导体层或结构的任何衬底,这些半导体层或结构可以任选地包括半导体装置的活性或可操作部分。半导体装置是指半导体衬底,在其上已经批量制造或正在批量制造至少一种微电子装置。
衬底典型地由硅、多晶硅、氧化硅、氮化硅、氮氧化硅、锗化硅、砷化镓、铝、蓝宝石、钨、钛、钛-钨、镍、铜和金中的一种或多种构成。衬底可以包括一个或多个层以及图案化特征。这些层可以包括例如一个或多个导电层,如铝、铜、钼、钽、钛、钨,此类金属的合金、氮化物或硅化物,掺杂非晶硅或掺杂多晶硅的层;一个或多个介电层,如氧化硅、氮化硅、氮氧化硅或金属氧化物的层;半导体层,如单晶硅;以及其组合。在一些方面,衬底包括氮化钛。层可以通过各种技术形成,例如化学气相沉积(CVD),如等离子体增强的CVD(PECVD)、低压CVD(LPCVD)或外延生长,物理气相沉积(PVD),如溅射或蒸发、或电镀。
在本发明的某些图案化方法中,在形成本发明的光致抗蚀剂底层之前,可能希望在衬底的上表面上提供一个或多个光刻层,如硬掩模层,例如旋涂碳(SOC)、无定形碳或金属硬掩模层,CVD层,如氮化硅(SiN)层、氧化硅(SiO)层或氮氧化硅(SiON)层,有机或无机BARC层或其组合。此类层与本发明的光致抗蚀剂底层组合物的层以及光致抗蚀剂层一起形成光刻材料堆叠件。可用于本发明的图案化方法中的典型光刻堆叠体包括例如以下:SOC层/底层/光致抗蚀剂层;SOC层/SiON层/底层/光致抗蚀剂层;SOC层/SiARC层/底层/光致抗蚀剂层;SOC层/金属硬掩模层/底层/光致抗蚀剂层;无定形碳层/底层/光致抗蚀剂层;以及无定形碳层/SiON层/底层/光致抗蚀剂层。
应当理解,如本文使用的“光致抗蚀剂底层”是指布置在衬底与光致抗蚀剂层之间(即,“在衬底上”)的一个或多个层。因此,本发明的经涂覆的底层(即,光致抗蚀剂底层组合物的层)可以作为光致抗蚀剂底层单独使用,或者本发明的经涂覆的底层(即,光致抗蚀剂底层组合物的层)可以与其他底层(包括如本文所述的那些)结合使用。
可以通过任何合适的手段如旋涂、狭缝式模头涂覆、刮涂、幕涂、辊涂、喷涂、浸涂等将光致抗蚀剂底层组合物涂覆在衬底上。在半导体晶片的情况下,旋涂是优选的。在典型的旋涂方法中,将本发明的组合物施加到以500-4000转/分钟(rpm)的速率旋转的衬底上持续15-90秒的时间段以在衬底上获得希望的缩合聚合物层。本领域技术人员将理解的是,经涂覆的层的厚度可以通过改变旋转速度以及组合物的固体含量来调节。由光致抗蚀剂底层组合物形成的底层典型地具有从1-500纳米(nm)、更典型地从1-100nm的干燥层厚度。
任选地在相对低的温度下将经涂覆的光致抗蚀剂底层组合物软烘烤,以去除任何溶剂和其他相对易挥发的组分。典型地,在小于或等于150℃、优选从60℃-125℃、并且更优选从90℃-115℃的温度下烘烤衬底。烘烤时间典型地是从10秒至10分钟、优选从30秒至5分钟、并且更优选从6-90秒。当衬底是晶片时,此烘烤步骤可以通过在热板上加热该晶片来进行。此软烘烤步骤可以作为涂层固化的一部分进行,或可以完全省略。
然后使光致抗蚀剂底层组合物固化以形成经涂覆的底层。应使涂层组合物充分固化,使得经涂覆的底层膜不与待形成在底层上的另一种底层组分或光致抗蚀剂层混杂,或最小程度地与其混杂。可以在含氧气氛(如空气)中或在惰性气氛(如氮气)中并且在足以提供固化涂层的条件(如加热)下固化经涂覆的组合物。此固化步骤优选在热板式设备上进行,但是可以使用烘箱固化获得等效的结果。典型地,该固化可以在150℃或更高、或从150℃-450℃的温度下进行。更优选的是,固化温度是180℃或更高、或从200℃-400℃。固化时间典型地是从10秒至10分钟、或从30秒至5分钟、或从45秒至2分钟、或从45-90秒。
任选地,可以使用斜升式或多阶段固化工艺。斜升式烘烤典型地在相对低的(例如,环境)温度下开始,该温度以恒定或变化的斜升速率增加至较高的目标温度。多阶段固化工艺涉及在两个或更多个温度平台处固化,典型地在较低的烘烤温度下进行第一阶段,在较高的温度下进行一个或多个附加的阶段。此类斜升式或多阶段固化工艺的条件对于本领域技术人员是已知的,并且可以允许省略先前的软烘烤工艺。
将施加的光致抗蚀剂底层组合物固化之后,在所施加的光致抗蚀剂底层上形成光致抗蚀剂层。如上所述,其他中间层可以施加在所施加的光致抗蚀剂底层与外涂覆的光致抗蚀剂层之间。在一些方面,该方法可以进一步包括在形成光致抗蚀剂层之前,在所施加的光致抗蚀剂底层上形成含硅的层、有机减反射涂层、或其组合。
各种各样的光致抗蚀剂可以适当地用于本发明的方法中,并且典型地是正性材料。待使用的特定光致抗蚀剂将取决于所使用的暴露波长,并且通常包含酸敏感基质聚合物、光活性组分如光酸产生剂、溶剂以及任选的附加的组分。合适的光致抗蚀剂是本领域技术人员所熟知的,并且可商购得到,例如,来自杜邦电子与工业公司(DuPont Electronics&Industrial)的UVTM和EPICTM的产品家族的各种光致抗蚀剂材料。可以通过已知的涂覆技术(如以上关于底层组合物描述的),将光致抗蚀剂施加到衬底上,其中旋涂是典型的。光致抗蚀剂层的典型厚度是从10-300nm。接下来,典型地将光致抗蚀剂层软烘烤以最小化层中的溶剂含量,从而形成无粘性涂层并提高层对衬底的粘附性。软烘烤可以在加热板上或在烘箱中进行,其中加热板是典型的。典型的光致抗蚀剂软烘烤在从70℃-150℃的温度下进行,并且时间为从30-90秒。
然后将光致抗蚀剂层通过光掩模暴露于活化辐射,以在暴露区域与未暴露区域之间产生溶解度差异。本文提及的将光致抗蚀剂组合物暴露于对组合物有活化作用的辐射表明辐射能够在光致抗蚀剂组合物中形成潜像。光掩模具有光学透明和光学不透明区域,分别对应于抗蚀剂层中的待通过活化辐射暴露的和未暴露的区域。暴露波长典型地是400nm以下、并且更典型地300nm以下,如248nm(KrF)、193nm(ArF)或EUV波长(例如13.5nm)。在优选的方面,暴露波长是193nm或EUV波长。暴露能量典型地为从10至100毫焦耳/平方厘米(mJ/cm2),这取决于例如暴露工具和光敏组合物的组分。
在暴露光致抗蚀剂层之后,典型地进行暴露后烘烤(PEB)。PEB可以例如在加热板上或在烘箱中进行。PEB典型地在从70℃至150℃的温度下进行,并且时间为从30至90秒。由此形成由极性转换和未转换区域(分别对应于暴露和未暴露区域)之间的边界限定的潜像。接下来,使光致抗蚀剂层显影以除去该层的暴露区域,使未暴露的区域形成图案化的光致抗蚀剂层。显影剂典型地是水性的碱性显影剂,例如,四烷基氢氧化铵溶液,如四甲基氢氧化铵(TMAH)溶液,典型地0.26当量浓度(N)(2.38wt%)的TMAH溶液。可以通过已知的技术施加显影剂,例如旋涂或浸涂(puddle coating)。
可以通过适当的蚀刻技术将光致抗蚀剂层的图案转移到一个或多个包括经涂覆的底层的下层并转移到衬底,如通过对每个蚀刻的层使用适当的气体种类进行等离子体蚀刻。取决于所涉及的层和材料的数量,图案转移可以包括使用不同蚀刻气体的多个蚀刻步骤。在使用常规技术使图案转移至衬底之后,可以除去光刻堆叠件中的图案化的光致抗蚀剂层、经涂覆的底层和其他任选的层。任选地,可以在图案转移到下层之后且在图案转移到衬底之前除去或在此期间消耗掉堆叠件的层的一个或多个。例如,可以在暴露的光致抗蚀剂层显影之后且在图案转移到经涂覆的底层之前,发生图案转移到含硅的层、有机减反射涂层等中的一个或多个。然后根据已知方法进一步加工衬底以形成电子装置。
还提供了一种经涂覆的衬底,其包括:布置在衬底上的本发明的光致抗蚀剂底层组合物的层;和布置在该光致抗蚀剂底层组合物的层上的第二层。该第二层可以是本文所述的层中的任何一个或多个,并且在一些情况下可以是布置在该光致抗蚀剂底层组合物的层上的光致抗蚀剂层。如本文使用的,术语“固化层”是指在已经将光致抗蚀剂底层组合物布置在衬底上并随后固化以形成涂层或膜之后,衍生自该组合物的层,并且也被简单地称为“光致抗蚀剂底层”。换句话说,固化光致抗蚀剂底层组合物可以说形成“固化层”,其为光致抗蚀剂底层。
还其他方面提供了一种分层的制品,其包括来源自本发明的光致抗蚀剂底层组合物的经涂覆的底层。在实施例中,分层的制品可以包括衬底;布置在该衬底上的经涂覆的底层;以及布置在该经涂覆的底层上的光致抗蚀剂层。
本发明构思通过以下实例进一步说明,这些实例旨在是非限制性的。本文使用的化合物和试剂都可商业获得,除了以下提供的程序。
实例
合成实例
聚合物A-1的合成
Figure BDA0004022256270000241
将50.0g的丙二醇单甲醚乙酸酯(PGMEA)装入配备有温度控制器单元的3颈250mL圆底烧瓶(RBF)中。将烧瓶在氮气下加热至60℃。制备含有溶解在PGMEA(43.3g)中的N-(丁氧基甲基)丙烯酰胺(BOAM,40.0g)和V-65(6.52g)的混合物溶液并在180分钟的跨度内将其进料到反应器中。在进料完成后,将反应器维持在60℃再持续60分钟。然后在搅拌下使反应器冷却至室温。用庚烷和MTBE(体积为4:1)的混合物沉淀反应混合物(向一定体积的反应混合物中添加10倍过量体积)。通过过滤去除溶剂,并然后将沉淀物在50℃下在真空下干燥1天。然后使用四氢呋喃(THF)制备30%的产物溶液,并从庚烷和MTBE(体积为4:1)的混合物中沉淀。通过过滤去除溶剂,并然后将所得沉淀物在50℃下在真空下干燥1天。
聚合物A-2的合成
Figure BDA0004022256270000251
使用与用于制备聚合物A-1的程序类似的程序制备聚合物A-2,除了使用N-(丁氧基甲基)甲基丙烯酰胺(BOMM,40.0g)代替BOAM,并且V-65引发剂的量为5.80g。
聚合物A-3的合成
Figure BDA0004022256270000252
使用与用于制备聚合物A-1的程序类似的程序制备聚合物A-3,除了使用N-(甲氧基甲基)甲基丙烯酰胺(MOMM,40.0g)代替BOAM,并且V-65引发剂的量为7.69g。
BOMMM的合成:N-(丁氧基甲基)-N-甲基甲基丙烯酰胺(BOMMM)
Figure BDA0004022256270000253
将9.78g的正丁醇和0.07g的4-甲氧基苯酚(MEHQ)装入设置有冷凝器和静态液体设备的3颈250mL RBF中。在20分钟内将N-甲基丙烯酰胺(29.2g)逐滴地添加到RBF的内容物中,同时将溶液温度保持至30℃。试剂溶解后,向其中添加氢氧化钠水溶液(10%溶液),接着在20分钟内以分批的方式添加10.0g的多聚甲醛。将所得混合物在55℃下加热30分钟。使反应溶液冷却至室温。然后通过添加盐酸水溶液(35%溶液)将pH调节至5-6的pH。然后将0.3g的草酸和52.45g正丁醇添加到反应溶液中,并且将所得混合物在90℃-95℃下加热6.5小时,同时使用静态液体设备提取水以获得126.57g的反应溶液。
聚合物A-4的合成
Figure BDA0004022256270000261
/>
使用与用于制备聚合物A-1的程序类似的程序制备聚合物A-4,除了使用BOMMM(40.0g)代替BOAM,并且V-65引发剂的量为5.36g。
BOEAM合成:N-(1-丁氧基乙基)丙烯酰胺(BOEAM)
Figure BDA0004022256270000262
将10.0g的正丁醇和0.07g的4-甲氧基苯酚(MEHQ)装入设置有冷凝器和静态液体设备的3颈250mL RBF中。然后在20分钟内将丙烯酰胺(21.4g)逐滴地添加到RBF的内容物中,同时将溶液温度保持在30℃。试剂溶解后,向其中添加氢氧化钠水溶液(10%溶液),接着在20分钟内以分批的方式添加15.0g的乙醛。将所得混合物在55℃下加热30分钟。使反应溶液冷却至室温。然后通过添加盐酸(35%溶液)将pH调节至5-6的pH。然后将0.3g的草酸和78.67g的正丁醇添加到反应溶液中,并且将所得混合物在90℃-95℃下加热6.5小时,同时使用静态液体设备提取水以获得119.63g的反应溶液。
聚合物A-5的合成
Figure BDA0004022256270000271
使用与用于制备聚合物A-1的程序类似的程序制备聚合物A-5,除了使用BOEAM(40.0g)代替BOAM,并且V-65引发剂的量为5.80g。
POMA的合成:N-(苯氧基甲基)丙烯酰胺(POMA)
Figure BDA0004022256270000272
将61.2g的去离子(DI)水和0.2g的丁基化羟基甲苯(BHT)装入设置有冷凝器和静态液体设备的3颈250mL RBF中。然后向溶液中添加56.4g的苯酚、42.6g的丙烯酰胺、和36.0g的多聚甲醛,并将所得混合物在110℃下加热2小时。使溶液冷却至室温并然后在真空下浓缩。将粗产物通过硅胶柱色谱法纯化以获得29.0g的呈黄色油状物的产物。
聚合物A-6的合成
Figure BDA0004022256270000273
使用与用于制备聚合物A-1的程序类似的程序制备聚合物A-6,除了使用POMA(40.0g)代替BOAM,并且V-65引发剂的量为5.61g。
聚合物A-7的合成
Figure BDA0004022256270000281
将50.0g的PGMEA装入配备有温度控制器单元的3颈250mL RBF中。将烧瓶在氮气下加热至60℃。制备含有溶解在PGMEA(43.3g)中的甲基丙烯酸4-羟苯酯(HQMA,29.0g)、BOAM(11.0g)、和V-65引发剂(5.78g)的混合物溶液并在180分钟的跨度内将其进料到反应器中。在进料完成后,将反应器维持在60℃再持续60分钟。然后在搅拌下使反应器冷却至室温。用庚烷和MTBE(体积为4:1)的混合物沉淀反应混合物(向一定体积的反应混合物中添加10倍过量体积)。通过过滤去除溶剂,并然后将沉淀物在50℃下在真空下干燥1天。然后使用THF制备30%的产物溶液,并从庚烷和MTBE(体积为4:1)的混合物中沉淀。通过过滤去除溶剂,并然后将沉淀物在50℃下在真空下干燥1天。
聚合物B-1的合成
Figure BDA0004022256270000282
向250mL RBF中装入46.09g的三(2-羟乙基)异氰尿酸酯、21.6的三(2-羧乙基)异氰尿酸酯、1.35g的对甲苯磺酸一水合物、31.15g的对苯二甲酸二甲酯、和52g的苯甲醚。然后将混合物加热至140℃-150℃,并剧烈搅拌内容物。通过蒸馏从反应中缓慢去除甲醇连同苯甲醚。然后通过添加100g的HBM稀释聚合物溶液。从异丙醇中沉淀混合物。收集聚合物并将其在真空下在40℃-60℃下干燥过夜。Mw为3000g/mol,其中PDI为1.4(GPC)。
聚合物B-2的合成
Figure BDA0004022256270000291
向250mL RBF中装入39.8g的三(2-羟乙基)异氰尿酸酯、17.5的三(2-羧乙基)异氰尿酸酯、0.73g的对甲苯磺酸一水合物、32.1g的萘二甲酸二丁酯、67g的苯甲醚、和100g的1-丁醇。然后将混合物加热至140℃-160℃,并剧烈搅拌内容物。通过蒸馏从反应中缓慢去除丁醇和苯甲醚。然后通过添加100g的THF稀释聚合物溶液。从MTBE和异丙醇(体积为1:1)的组合中沉淀混合物。收集聚合物并将其在真空下在40℃-60℃下干燥12小时。Mw为3000g/mol,其中PDI为1.30(GPC)。
聚合物B-3的合成
Figure BDA0004022256270000292
将60.0g的乳酸乙酯装入配备有温度控制器单元的3颈250mL RBF中。将反应器加热至90℃。将甲基丙烯酸4-羟苯酯(HQMA,40.0g)和V-601引发剂(10.34g)溶解在环己酮(60.0g)中,并然后在180分钟内将此制备好的混合物溶液进料到反应器中。在进料完成后,将反应器维持在90℃再持续60分钟。然后在搅拌下使反应器冷却至室温。用MTBE和庚烷(体积为4:6)的混合物(使用10倍过量体积)沉淀反应混合物。通过倾析去除溶剂,并然后在空气中干燥沉淀物。将所得白色固体溶解于THF(120g)中并从MTBE和庚烷(体积为4:6)的组合中沉淀。
底层组合物
表1示出了通过混合所示量的组分制备的实例1至11和对比实例1至6的底层组合物。每种组合物进一步包含5mg的2,4,6-三甲基吡啶鎓对甲苯磺酸盐、4.8g的异丁酸甲基-2-羟酯、和4.8g的PGMEA。在使用前,通过具有0.45μm的孔径的聚四氟乙烯(PTFE)过滤器过滤溶液。
表1
聚合物1 聚合物2
实例1 A-1(79mg) B-1(316mg)
实例2 A-2(79mg) B-1(316mg)
实例3 A-3(79mg) B-1(316mg)
实例4 A-4(79mg) B-1(316mg)
实例5 A-5(79mg) B-1(316mg)
实例6 A-1(79mg) B-2(316mg)
实例7 A-2(79mg) B-2(316mg)
实例8 A-3(79mg) B-2(316mg)
实例9 A-4(79mg) B-2(316mg)
实例10 A-5(79mg) B-2(316mg)
实例11 A-7(395mg) --
对比实例1 C-1(80mg) B-1(315mg)
对比实例2 C-2(80mg) B-1(315mg)
对比实例3 C-1(80mg) B-2(316mg)
对比实例4 C-2(80mg) B-2(316mg)
对比实例5 C-1(80mg) B-3(315mg)
对比实例6 C-2(80mg) B-3(315mg)
表1中使用以下缩写:C-1是四甲氧基甲基甘脲;并且C-2是六(甲氧基甲基)-1,3,5-三嗪-2,4,6-三胺。
耐溶剂性评估
将表1中的每种组合物以1500rpm旋涂到相应的200-mm裸硅晶片上,并且然后在205℃下固化60秒以形成作为膜的固化的涂层。硅晶片上的膜的初始厚度通过椭圆偏振法(M2000椭圆偏振仪,J.A Woolam公司)测量,并且然后使30mL的PGMEA与晶片表面接触并停留一分钟以被固定于晶片表面。此后,将晶片以4,000rpm旋转干燥一分钟,并通过椭圆偏振法测量剩余膜的厚度。然后,基于在与PGME接触/旋转干燥之前和之后的膜厚度,计算厚度差(即,膜损失)。其结果在表2中以膜损失(以埃计,
Figure BDA0004022256270000301
)示出。
耐升华性评估
为了确定在固化过程期间从膜中升华的材料的量,采用这样的测试程序,该测试程序测量了在使用热板在裸硅晶片上固化膜的过程期间在聚合物膜上方大约1cm放置的石英晶体上冷凝的材料的量。在没有后烘烤加热步骤的情况下,将表1中的每种组合物以1500rpm旋涂到相应的200-mm裸硅晶片上。通过石英晶体微天平(QCM)测量在205℃下加热60秒以形成固化膜的同时从膜中的升华。固化膜具有100nm的厚度。其结果在表2中以从固化膜中吸收的升华质量(μg)示出。
固化膜的玻璃化转变温度
将表1中的每种组合物以1500rpm旋涂到相应的200-mm裸硅晶片上,并且然后在215℃下固化60秒以形成作为具有900nm厚度的膜的固化的涂层。将经涂覆的晶片切割成2cm×2cm节段并装进椭圆偏振仪(M2000椭圆偏振仪,J.A.Woollam公司)的热室中。使用差示扫描量热法(DSC)使用以10℃/s的速率加热至250℃和以10℃/s的速率冷却至30℃的2个循环,确定玻璃化转变温度(Tg)。使用通用分析软件从第一冷却曲线计算玻璃化转变温度(Tg)。其结果在表2中以Tg(℃)示出。
表2
Figure BDA0004022256270000311
从表2可以看出,实例1-11的样品实现了较高的耐溶剂性,如通过与对比实例1-6(从1.1至
Figure BDA0004022256270000321
的膜损失)相比更少的膜损失(从0.2至/>
Figure BDA0004022256270000322
Figure BDA0004022256270000323
的膜损失)所证实。实例1-11较不易于升华,因为所得升华量为从0至6μg。相比之下,加热对比实例1-6产生从33至48μg的量的升华膜。另外,实例1-11中的每一个具有大于250℃的膜Tg,而对比实例1-6都不具有超过231℃的膜Tg。因此,实例1至11的本发明底层组合物展示出较高的膜密度。
图案涂覆评估
将实例1、对比实例1、实例6、和对比实例3的底层组合物旋涂在具有1μm CD和107.7nm深度的沟槽的SiO2衬底上,接着在205℃下加热一分钟。此后,使用光学显微镜观察图案上底层组合物的涂覆稳定性和填充特性。图1A至1D示出了分别涂覆有实例1、对比实例1、实例6、和对比实例3的底层组合物的图案的光学显微镜图像。发现实例1和实例6的组合物的涂层膜被良好地涂覆以对应于图案。然而,对于对比实例1和对比实例3的涂层膜,观察到回拉现象,这在加热过程期间导致图案撕裂。
光刻性能
将实例1和对比实例1的底层组合物旋涂在硅晶片上并且然后在205℃下使用TELMark 8晶片涂覆轨道机烘烤以产生底部减反射涂层。接下来,将DuPont EPICTM2150光致抗蚀剂旋涂在减反射膜的顶部,并在110℃下烘烤60秒以给出具有170nm厚度的光致抗蚀剂膜。然后使用具有0.93NA(0.82/0.53环形设置)的193nm ArF晶片步进机,通过目标掩模暴露光致抗蚀剂。然后在115℃下使光致抗蚀剂膜经受暴露后烘烤60秒,并且然后在标准60秒单浸置式(single-puddle)过程中使用Shipley MF CD-26显影剂(2.38% TMAH)显影。使用扫描电子显微镜(SEM)以60,000放大倍率下检查抗蚀剂图案的品质。
图2A中的SEM结果示出使用实例1和对比实例1的减反射组合物的160nm节距线宽和间距图案有65nm CD。当实例1用作底部减反射膜时,观察到比当使用对比实例1时更好的坍塌裕度,大概是由于如通过较高膜Tg证实的实例1的较高膜密度。当实例6和对比实例3用作底部减反射膜时观察到相同的趋势,如图2B中所描绘。
虽然已经结合目前被认为是实际的示例性实施例描述了本公开,但是应当理解,本发明不限于所公开的实施例,而且相反地,旨在覆盖包括在所附权利要求的精神和范围内的各种修改和等同布置。

Claims (10)

1.一种光致抗蚀剂底层组合物,其包含
第一聚合物,所述第一聚合物包含衍生自N-(烷氧基甲基)(甲基)丙烯酰胺单体的第一结构单元;
包含芳香族基团、杂环基团、酯基团、酰胺基团或其组合的第二结构单元,其中所述第二结构单元进一步包含可交联基团;
其中所述第一聚合物包含所述第二结构单元,所述光致抗蚀剂底层组合物进一步包含含有所述第二结构单元的第二聚合物,或其组合,
热酸产生剂;以及
溶剂。
2.如权利要求1所述的光致抗蚀剂底层组合物,其中,
所述第一聚合物包含所述第一结构单元和所述第二结构单元,并且
所述第二结构单元包含C6-60芳基和所述可交联基团。
3.如权利要求1或2所述的光致抗蚀剂底层组合物,其进一步包含所述第二聚合物,其中所述第二聚合物包含所述第二结构单元和所述可交联基团,并且其中所述第二聚合物进一步包含第三结构单元,所述第三结构单元包含取代的氰尿酸酯。
4.如权利要求1至3中任一项所述的光致抗蚀剂底层组合物,其中,所述N-(烷氧基甲基)(甲基)丙烯酰胺单体具有式(1):
Figure FDA0004022256260000011
其中,在式(1)中,
Ra是氢、氟、氰基、或取代或未取代的C1-10烷基;
R1是氢、或取代或未取代的C1-10烷基;
R2和R3各自独立地是氢、取代或未取代的C1-30烷基、取代或未取代的C1-30杂烷基、取代或未取代的C3-30环烷基、取代或未取代的C2-30杂环烷基、取代或未取代的C2-30烯基、取代或未取代的C6-30芳基、取代或未取代的C7-30芳基烷基、取代或未取代的C7-30烷基芳基、取代或未取代的C3-30杂芳基、取代或未取代的C4-30杂芳基烷基、或取代或未取代的C4-30烷基杂芳基;
R2和R3中的每一个任选地进一步包含作为其结构的一部分的二价连接基团;
R2和R3任选地经由单键或二价连接基团形成环,其中所述环是取代或未取代的;
R4是氢、取代或未取代的C1-20烷基、取代或未取代的C3-20环烷基、取代或未取代的C2-20杂环烷基、取代或未取代的C6-24芳基、取代或未取代的C7-25芳基烷基、取代或未取代的C7-25烷基芳基、取代或未取代的C3-20杂芳基、取代或未取代的C4-20杂芳基烷基、或取代或未取代的C4-20烷基杂芳基;并且
R2或R3之一任选地与R4一起经由单键或二价连接基团形成杂环,其中所述杂环是取代或未取代的。
5.如权利要求4所述的光致抗蚀剂底层组合物,其中,
Ra是氢、或未取代的C1-3烷基;
R1是氢或甲基;
R2和R3各自独立地是氢、或取代或未取代的C1-10烷基;并且
R4是取代或未取代的C1-10烷基、取代或未取代的C3-10环烷基、取代或未取代的C2-10杂环烷基、取代或未取代的C6-14芳基、或取代或未取代的C3-20杂芳基。
6.如权利要求2至5中任一项所述的光致抗蚀剂底层组合物,其中,所述第二结构单元包含杂环基团,所述杂环基团包含衍生自由式(4)、式(5)表示的化合物或其组合的取代的氰尿酸酯结构单元:
Figure FDA0004022256260000021
其中,在式(4)和(5)中,
R5和R6各自独立地是氢、取代或未取代的C1-30烷基、取代或未取代的C3-30环烷基、取代或未取代的C3-30杂环烷基、取代或未取代的C6-30芳基、或取代或未取代的C3-30杂芳基;
R7是氢、-COOH、取代或未取代的C1-30烷基、取代或未取代的C2-30烯基、取代或未取代的C2-30炔基、取代或未取代的C2-30烷酰基、取代或未取代的C1-C30烷氧基、取代或未取代的C1-C30烷硫基、取代或未取代的C1-C30烷基亚磺酰基、取代或未取代的C1-C30烷基磺酰基、取代或未取代的C2-C30烷氧基羰基、取代或未取代的C3-20环烯基、取代或未取代的C3-20杂环烯基、取代或未取代的C6-C30芳基、取代或未取代的C7-C30烷基芳基、取代或未取代的C7-C30芳基烷基、取代或未取代的C3-30杂芳基、取代或未取代的C4-30烷基杂芳基、或取代或未取代的C4-30杂芳基烷基;
X和X’各自独立地是氢、或取代或未取代的C1-10烷基;并且
n1、n2、m1、m2、和m3各自独立地是从1至10的整数。
7.一种经涂覆的衬底,其包括:
布置在衬底上的如权利要求1至6中任一项所述的光致抗蚀剂底层组合物的层;和
布置在所述光致抗蚀剂底层组合物的所述层上的第二层。
8.一种形成图案的方法,所述方法包括:
将如权利要求1至6中任一项所述的光致抗蚀剂底层组合物的层施加在衬底上;
将所施加的光致抗蚀剂底层组合物固化以形成光致抗蚀剂底层;以及
在所述光致抗蚀剂底层上形成光致抗蚀剂层。
9.如权利要求8所述的方法,其进一步包括在形成所述光致抗蚀剂层之前,在所述光致抗蚀剂底层上方形成含硅的层、有机减反射涂层、或其组合。
10.如权利要求8或9所述的方法,其进一步包括图案化所述光致抗蚀剂层,并将图案从图案化的光致抗蚀剂层转移至所述光致抗蚀剂底层以及所述光致抗蚀剂底层下方的层。
CN202211725313.4A 2021-12-29 2022-12-28 光致抗蚀剂底层组合物 Pending CN116360212A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163294569P 2021-12-29 2021-12-29
US63/294569 2021-12-29

Publications (1)

Publication Number Publication Date
CN116360212A true CN116360212A (zh) 2023-06-30

Family

ID=86897621

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211725313.4A Pending CN116360212A (zh) 2021-12-29 2022-12-28 光致抗蚀剂底层组合物

Country Status (5)

Country Link
US (1) US20230205087A1 (zh)
JP (1) JP2023098849A (zh)
KR (1) KR20230104010A (zh)
CN (1) CN116360212A (zh)
TW (1) TW202328224A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2024027459A (ja) * 2022-08-17 2024-03-01 信越化学工業株式会社 密着膜形成用組成物、パターン形成方法、及び密着膜の形成方法

Also Published As

Publication number Publication date
TW202328224A (zh) 2023-07-16
US20230205087A1 (en) 2023-06-29
JP2023098849A (ja) 2023-07-11
KR20230104010A (ko) 2023-07-07

Similar Documents

Publication Publication Date Title
TWI401264B (zh) 光阻下層聚合物、光阻下層組成物及使用其等之圖案化方法
WO2008082241A1 (en) Polymer having antireflective properties and high carbon content, hardmask composition including the same, and process for forming a patterned material layer
JP7454618B2 (ja) フォトレジスト下層組成物
CN113527571B (zh) 聚合物、包含其的底层涂料组合物以及图案化方法
CN116360212A (zh) 光致抗蚀剂底层组合物
JP2021506996A (ja) エチニル誘導体コンポジット、それを含んでなる組成物、それによる塗膜の製造方法、およびその塗膜を含んでなる素子の製造方法
JP7407232B2 (ja) フォトレジスト下層用の組成物
CN114106275A (zh) 底层组合物及图案化方法
JP7386309B2 (ja) フォトレジスト下層組成物
KR102651006B1 (ko) 포토레지스트 하층을 위한 코팅 조성물
CN115584177A (zh) 外涂覆的光致抗蚀剂的经涂覆的底层
KR20110077684A (ko) 레지스트 하층막용 고분자, 이를 포함하는 레지스트 하층막 조성물 및소자의 패턴 형성 방법
CN117724294A (zh) 光致抗蚀剂底层组合物
CN116964528A (zh) 光刻用膜形成材料、组合物、光刻用下层膜以及图案形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination