CN115826916A - 用来执行用于机器学习的浮点和整数操作的指令和逻辑 - Google Patents

用来执行用于机器学习的浮点和整数操作的指令和逻辑 Download PDF

Info

Publication number
CN115826916A
CN115826916A CN202211446828.0A CN202211446828A CN115826916A CN 115826916 A CN115826916 A CN 115826916A CN 202211446828 A CN202211446828 A CN 202211446828A CN 115826916 A CN115826916 A CN 115826916A
Authority
CN
China
Prior art keywords
unit
graphics
data
bit
operations
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211446828.0A
Other languages
English (en)
Inventor
H.考尔
M.A.安德斯
S.K.马修
姚安邦
J.雷
P.T.唐
M.S.斯特里克兰德
X.陈
T.斯派斯曼
A.R.阿普
A.科克
K.辛哈
B.温布
N.C.G.冯博里斯
E.努尔维塔迪
R.巴里克
T-H.林
V.兰加纳坦
S.雅哈吉达尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN115826916A publication Critical patent/CN115826916A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/57Arithmetic logic units [ALU], i.e. arrangements or devices for performing two or more of the operations covered by groups G06F7/483 – G06F7/556 or for performing logical operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/483Computations with numbers represented by a non-linear combination of denominational numbers, e.g. rational numbers, logarithmic number system or floating-point numbers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/3001Arithmetic instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/544Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices for evaluating functions by calculation
    • G06F7/5443Sum of products
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/3001Arithmetic instructions
    • G06F9/30014Arithmetic instructions with variable precision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30036Instructions to perform operations on packed data, e.g. vector, tile or matrix operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units
    • G06F9/3888Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units controlled by a single instruction for multiple threads [SIMT] in parallel
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/044Recurrent networks, e.g. Hopfield networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/06Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons
    • G06N3/063Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G5/00Control arrangements or circuits for visual indicators common to cathode-ray tube indicators and other visual indicators
    • G09G5/36Control arrangements or circuits for visual indicators common to cathode-ray tube indicators and other visual indicators characterised by the display of a graphic pattern, e.g. using an all-points-addressable [APA] memory
    • G09G5/39Control of the bit-mapped memory
    • G09G5/393Arrangements for updating the contents of the bit-mapped memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/16Constructional details or arrangements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/16Matrix or vector computation, e.g. matrix-matrix or matrix-vector multiplication, matrix factorization
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2207/00Indexing scheme relating to methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F2207/38Indexing scheme relating to groups G06F7/38 - G06F7/575
    • G06F2207/3804Details
    • G06F2207/3808Details concerning the type of numbers or the way they are handled
    • G06F2207/3812Devices capable of handling different types of numbers
    • G06F2207/3824Accepting both fixed-point and floating-point numbers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30025Format conversion instructions, e.g. Floating-Point to Integer, decimal conversion
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/3013Organisation of register space, e.g. banked or distributed register file according to data content, e.g. floating-point registers, address registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T15/003D [Three Dimensional] image rendering
    • G06T15/005General purpose rendering architectures

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Computational Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Computational Linguistics (AREA)
  • Artificial Intelligence (AREA)
  • Multimedia (AREA)
  • Nonlinear Science (AREA)
  • Neurology (AREA)
  • Computer Hardware Design (AREA)
  • Image Processing (AREA)
  • Image Generation (AREA)
  • Advance Control (AREA)
  • Image Analysis (AREA)
  • Complex Calculations (AREA)
  • Computer Graphics (AREA)
  • Numerical Control (AREA)
  • Memory System Of A Hierarchy Structure (AREA)

Abstract

一个实施例提供了包括具有在整数数据路径和浮点数据路径之间共享的加法器和乘法器的计算单元的机器学习硬件加速器,到乘法器的输入操作数的高位要在浮点操作期间被门控。

Description

用来执行用于机器学习的浮点和整数操作的指令和逻辑
本申请是申请日为2018年4月27日、申请号为201810394160.7的同名专利申请的分案申请。
交叉引用
本申请要求对2017年4月28日提交的美国临时申请No.62/491,699的权益,其由此通过引用被结合于本文。
技术领域
实施例一般涉及数据处理,并且更具体地涉及经由通用图形处理单元的数据处理。
背景技术
当前并行图形数据处理包括开发成对图形数据执行特定操作(诸如例如线性内插、曲面细分、光栅化、纹理映射、深度测试等)的系统和方法。传统上,图形处理器使用固定功能计算单元来处理图形数据;然而,最近,已经使图形处理器的部分可编程,使此类处理器能够支持用于处理顶点和片段数据的各种各样的操作。
为了进一步增加性能,图形处理器通常实现诸如尝试并行处理遍及图形流水线的不同部分的尽可能多的图形数据的流水线操作的处理技术。具有单指令多线程(SIMT)架构的并行图形处理器被设计成最大化图形流水线中的并行处理的量。在SIMT架构中,并行线程组尝试尽可能经常地一起同步执行程序指令以增加处理效率。用于SIMT架构的软件和硬件的一般概述可以在Shane Cook的CUDA Programming,第3章,第37-51页(2013)和/或Nicholas Wilt的CUDA Handbook,A Comprehensive Guide to GPU Programming,第2.6.2至3.1.2节(2013年6月)中找到。
附图说明
通过参考实施例可具有本发明的更具体的描述以便可以详细理解本发明的特征,所述实施例中的一些在附图中示出。然而,要注意,附图仅示出了典型实施例,并且因此不被视为限制所有实施例的范围。
图1是示出了配置成实现本文描述的实施例的一个或多个方面的计算机系统的框图。
图2A-2D示出了根据实施例的并行处理器部件。
图3A-3B是根据实施例的图形多处理器的框图。
图4A-4F示出了示范架构,在其中多个GPU通信地耦合到多个多核处理器。
图5示出了根据实施例的图形处理流水线。
图6示出了根据实施例的机器学习软件栈。
图7示出了根据实施例的高度并行通用图形处理单元。
图8示出了根据实施例的多GPU计算系统。
图9A-9B示出了示范深度神经网络的层。
图10示出了示范递归神经网络。
图11示出了深度神经网络的训练和部署。
图12是示出分布式学习的框图。
图13示出了适合用于使用训练模型执行推理的示范推理片上系统(SOC)。
图14是根据实施例的多处理器单元的框图。
图15A-15B示出了根据实施例的执行整数和浮点融合乘-加操作的逻辑单元的设计。
图16示出了根据实施例的具有合并的浮点和整数数据路径的融合乘-加逻辑单元。
图17A-17B示出了根据实施例的包括合并的计算电路以执行浮点和整数融合乘法累加操作的逻辑单元。
图18A-18B示出了执行用于机器学习的加速训练和推理操作的数据处理系统和关联的计算和逻辑单元。
图19示出了根据实施例的激活指令模块的细节。
图20示出了根据实施例的随机量化单元。
图21示出了根据一个实施例的FPU编码和配置模块。
图22示出了根据实施例的使用动态可配置计算单元处理指令的逻辑。
图23A-23B是示出执行由本文描述的实施例提供的GPGPU内的稀疏计算操作的逻辑的流程图。
图24是根据实施例的处理系统的框图。
图25是根据实施例的处理器的框图。
图26根据实施例的图形处理器的框图。
图27是根据一些实施例的图形处理器的图形处理引擎的框图。
图28是由附加实施例提供的图形处理器的框图。
图29示出了包括在一些实施例中采用的处理元件阵列的线程执行逻辑。
图30是示出根据一些实施例的图形处理器指令格式的框图。
图31是根据另一实施例的图形处理器的框图。
图32A-32B示出了根据一些实施例的图形处理器命令格式和命令序列。
图33示出了根据一些实施例的用于数据处理系统的示范图形软件架构。
图34是示出根据实施例的IP核的开发系统的框图。
图35是示出根据实施例的示范片上系统集成电路的框图。
图36是示出根据实施例的附加图形处理器的框图。
图37是示出根据实施例的片上系统集成电路的附加示范图形处理器的框图。
具体实施方式
在一些实施例中,图形处理单元(GPU)通信地耦合至主机/处理器核以使图形操作、机器学习操作、模式分析操作、以及各种通用GPU(GPGPU)功能加速。GPU可通过总线或另一互连(例如,诸如PCIe或NVLink之类的高速互连)通信地耦合至主机处理器/核。在其他实施例中,GPU可被集成在与核相同的封装或芯片上,并通过内部处理器总线/互连(即,在封装或芯片内部)通信地耦合至核。不管GPU被连接的方式,处理器核都可以以工作描述符中所包含的命令/指令的序列的形式将工作分配给GPU。GPU然后使用专用电路/逻辑以用于高效地处理这些命令/指令。
在以下描述中,阐述了很多特定细节来提供更彻底的理解。然而,将对本领域技术人员显而易见的是,可以在没有这些特定细节中的一个或多个的情况下实践本文中所描述的实施例。在其他实例中,没有描述公知的特征以避免使本发明的实施例的细节模糊。
系统概述
图1是示出了配置成实现本文中所描述的实施例的一个或多个方面的计算系统100的框图。计算系统100包括处理子系统101,所述处理子系统101具有经由互连路径进行通信的一个或多个处理器102和系统存储器104,所述互连路径可以包括存储器中枢105。存储器中枢105可以是芯片组部件内的单独的部件,或者可以集成在所述一个或多个处理器102内。存储器中枢105经由通信链路106与I/O子系统111耦合。I/O子系统111包括I/O中枢107,所述I/O中枢107可以使得计算系统100能够从一个或多个输入设备108接收输入。另外,I/O中枢107可以使得显示控制器能够向一个或多个显示设备110A提供输出,所述显示控制器可以被包括在所述一个或多个处理器102中。在一个实施例中,与I/O中枢107耦合的所述一个或多个显示设备110A可以包括本地的、内部的或嵌入式的显示设备。
在一个实施例中,处理子系统101包括一个或多个并行处理器112,所述一个或多个并行处理器112经由总线或其他通信链路113耦合至存储器中枢105。通信链路113可以是任何数量的基于标准的通信链路技术或协议(诸如但不限于PCI Express)中的一个,或者可以是供应方特定的通信接口或通信结构。在一个实施例中,所述一个或多个并行处理器112形成计算集中的并行或向量处理系统,所述系统包括大量处理核和/或处理集群,诸如集成众核(MIC)处理器。在一个实施例中,所述一个或多个并行处理器112形成图形处理子系统,所述图形处理子系统可以向经由I/O中枢107耦合的所述一个或多个显示设备110A中的一个输出像素。所述一个或多个并行处理器112还可以包括显示控制器和显示接口(未示出)以使能到一个或多个显示设备110B的直接连接。
在I/O子系统111内,系统存储单元114可以连接至I/O中枢107来为计算系统100提供存储机制。I/O开关116可以用于提供接口机制,以使能I/O中枢107与可以集成到平台中的其他部件(诸如网络适配器118和/或无线网络适配器119)和可以经由一个或多个插入式设备120添加的各种其他设备之间的连接。网络适配器118可以是以太网适配器或另一有线网络适配器。无线网络适配器119可以包括如下中的一个或多个:Wi-Fi、蓝牙、近场通信(NFC)或包括一个或多个无线电装置的其他网络设备。
计算系统100可以包括未明确示出的其他部件,所述其他部件包括USB或其他端口连接、光存储驱动器、视频捕获设备等,也可以连接至I/O中枢107。可以使用任何合适的协议,诸如基于PCI(外围部件互连)的协议(例如,PCI-Express),或任何其他总线或点对点通信接口和/或(多个)协议,诸如NV-Link高速互连或本领域中已知的互连协议,来实现将图1中的各种部件互连的通信路径。
在一个实施例中,所述一个或多个并行处理器112结合为了进行图形和视频处理而优化的电路,所述电路包括例如视频输出电路,并且构成图形处理单元(GPU)。在另一实施例中,所述一个或多个并行处理器112结合为了进行通用处理而优化的电路,同时保持本文中较详细地描述的基础计算架构。在又一实施例中,计算系统100的部件可以与一个或多个其他系统元件集成在单个集成电路上。例如,所述一个或多个并行处理器112、存储器中枢105、(多个)处理器102和I/O中枢107可以集成到片上系统(SoC)集成电路中。替代地,计算系统100的部件可以集成到单个封装中以形成系统级封装(SIP)配置。在一个实施例中,计算系统100的部件的至少一部分可以集成到多芯片模块(MCM)中,所述多芯片模块(MCM)可以与其他多芯片模块互连成模块化计算系统。
将领会,本文中示出的计算系统100是说明性的并且变化和修改是可能的。连接拓扑可以按照期望进行修改,所述连接拓扑包括桥的数量和布置、(多个)处理器102的数量和(多个)并行处理器112的数量。例如,在一些实施例中,系统存储器104直接地而不是通过桥连接至(多个)处理器102,而其他设备经由存储器中枢105和(多个)处理器102与系统存储器104进行通信。在其他替代拓扑中,(多个)并行处理器112连接至I/O中枢107或直接连接至所述一个或多个处理器102中的一个,而不是连接至存储器中枢105。在其他实施例中,I/O中枢107和存储器中枢105可以集成到单个芯片中。一些实施例可以包括经由多个插座附接的(多个)处理器102的两个或更多个集合,它们可以与(多个)并行处理器112的两个或更多个实例耦合。
本文中示出的特定部件中的一些是可选的并且可能不被包括在计算系统100的所有实现中。例如,可以支持任何数量的插入式卡或外围设备,或者可以消除一些部件。此外,一些架构可以将不同的术语用于与图1中示出的那些部件类似的部件。例如,在一些架构中存储器中枢105可以被称为北桥,而I/O中枢107可以被称为南桥。
图2A示出了根据实施例的并行处理器200。并行处理器200的各种部件可以使用诸如可编程处理器、专用集成电路(ASIC)或现场可编程门阵列(FPGA)之类的一个或多个集成电路设备来实现。根据实施例,所示出的并行处理器200是图1中示出的所述一个或多个并行处理器112的变体。
在一个实施例中,并行处理器200包括并行处理单元202。该并行处理单元包括I/O单元204,所述I/O单元204使能与包括并行处理单元202的其他实例的其他设备的通信。I/O单元204可以直接连接至其他设备。在一个实施例中,I/O单元204经由诸如存储器中枢105之类的中枢或开关接口的使用来与其他设备连接。存储器中枢105与I/O单元204之间的连接形成通信链路113。在并行处理单元202内,I/O单元204与主机接口206和存储器交叉开关216连接,其中主机接口206接收涉及执行处理操作的命令,并且存储器交叉开关216接收涉及执行存储器操作的命令。
当主机接口206经由I/O单元204接收命令缓冲器时,主机接口206可以将用于执行那些命令的工作操作引导到前端208。在一个实施例中,前端208与调度器210耦合,所述调度器210配置成向处理集群阵列212分发命令或其他工作项目。在一个实施例中,调度器210确保在向处理集群阵列212的处理集群分发任务之前,处理集群阵列212被恰当地配置并且处于有效状态中。在一个实施例中,调度器210经由在微控制器上执行的固件逻辑来实现。微控制器实现的调度器210可配置成以粗糙粒度和精细粒度来执行复杂的调度和工作分发操作,从而使能在处理阵列212上执行的线程的上下文切换和快速抢占(rapidpreemption)。在一个实施例中,主机软件可以经由多个图形处理门铃(doorbell)之一来检验工作负荷用于在处理阵列212上调度。随后工作负荷可以由调度器微控制器内的调度器210逻辑来跨处理阵列212自动地分布。
处理集群阵列212可以包括多达“N”个处理集群(例如,集群214A、集群214B到集群214N)。处理集群阵列212的每个集群214A-214N可以执行大量并发线程。调度器210可以使用各种调度和/或工作分发算法来向处理集群阵列212的集群214A-214N分配工作,所述算法可以根据因为每个类型的程序或计算而产生的工作负荷而变化。调度可以由调度器210动态地处理,或者可以在被配置用于由处理集群阵列212执行的程序逻辑的编译期间由编译器逻辑部分地协助。在一个实施例中,处理集群阵列212的不同集群214A-214N可以被分配用于处理不同类型的程序或用于执行不同类型的计算。
处理集群阵列212可以配置成执行各种类型的并行处理操作。在一个实施例中,处理集群阵列212配置成执行通用并行计算操作。例如,处理集群阵列212可以包括用于执行处理任务的逻辑,所述处理任务包括视频和/或音频数据的过滤、执行包括物理操作的建模操作,以及执行数据变换。
在一个实施例中,处理集群阵列212配置成执行并行图形处理操作。在其中并行处理器200配置成执行图形处理操作的实施例中,处理集群阵列212可以包括用于支持此类图形处理操作的执行的附加逻辑,包括但不限于用于执行纹理操作的纹理采样逻辑以及曲面细分逻辑和其他顶点处理逻辑。另外,处理集群阵列212可以配置成执行图形处理相关的着色器(shader)程序,诸如但不限于顶点着色器、曲面细分着色器、几何着色器和像素着色器。并行处理单元202可以经由I/O单元204传送来自系统存储器的数据以用于处理。在处理期间,经传送的数据可以被在处理期间存储到片上存储器(例如,并行处理器存储器222),然后写回到系统存储器。
在一个实施例中,当并行处理单元202用于执行图形处理时,调度器210可以配置成将处理工作负荷分成近似相等大小的任务,以更好地使得图形处理操作能够分发到处理集群阵列212的多个集群214A-214N。在一些实施例中,处理集群阵列212的各部分可以配置成执行不同类型的处理。例如,第一部分可以配置成执行顶点着色和拓扑生成,第二部分可以配置成执行曲面细分和几何着色,并且第三部分可以配置成执行像素着色或其他屏幕空间操作,以产生用于显示的渲染图像。由集群214A-214N中的一个或多个产生的中间数据可以存储在缓冲器中以允许中间数据在集群214A-214N之间传输以用于进一步处理。
在操作期间,处理集群阵列212可以接收要经由调度器210执行的处理任务,所述调度器210从前端208接收定义处理任务的命令。对于图形处理操作,处理任务可以包括要处理的数据以及定义要如何处理数据(例如,要执行什么程序)的状态参数和命令的索引,所述数据例如表面(补丁(patch))数据、图元(primitive)数据、顶点数据和/或像素数据。调度器210可以配置成获取对应于任务的索引或者可以从前端208接收索引。前端208可以配置成确保处理集群阵列212在由传入命令缓冲器(例如,批处理缓冲器、推(push)缓冲器等)指定的工作负荷发起之前被配置成有效状态。
并行处理单元202的一个或多个实例中的每个可以与并行处理器存储器222耦合。并行处理器存储器222可以经由存储器交叉开关216来访问,所述存储器交叉开关216可以从处理集群阵列212以及I/O单元204接收存储器请求。存储器交叉开关216可以经由存储器接口218访问并行处理器存储器222。存储器接口218可以包括多个分区单元(例如,分区单元220A、分区单元220B至分区单元220N),它们可以各自耦合至并行处理器存储器222的一部分(例如,存储器单元)。在一个实现中,分区单元220A-220N的数量被配置成等于存储器单元的数量,使得第一分区单元220A具有对应的第一存储器单元224A,第二分区单元220B具有对应的存储器单元224B,并且第N分区单元220N具有对应的第N存储器单元224N。在其他实施例中,分区单元220A-220N的数量可能不等于存储器设备的数量。
在各种实施例中,存储器单元224A-224N可以包括各种类型的存储器设备,包括动态随机存取存储器(DRAM)或图形随机存取存储器,诸如同步图形随机存取存储器(SGRAM),包括图形双倍数据速率(GDDR)存储器。在一个实施例中,存储器单元224A-224N还可以包括3D堆叠式存储器,包括但不限于高带宽存储器(HBM)。本领域技术人员将领会,存储器单元224A-224N的具体实现可以变化,并且可以选自各种常规设计中的一个。可以跨存储器单元224A-224N存储诸如帧缓冲器或纹理映射(map)之类的渲染目标,从而允许分区单元220A-220N并行地写入每个渲染目标的部分,以高效地使用并行处理器存储器222的可用带宽。在一些实施例中,可以排除并行处理器存储器222的本地实例,以支持利用系统存储器连同本地高速缓冲存储器的统一存储器设计。
在一个实施例中,处理集群阵列212的集群214A-214N中的任一个可以处理将写入到并行处理器存储器222内的存储器单元224A-224N中的任何的数据。存储器交叉开关216可以配置成将每个集群214A-214N的输出传送到任何分区单元220A-220N或另一集群214A-214N,其可以对输出执行附加处理操作。每个集群214A-214N可以通过存储器交叉开关216与存储器接口218进行通信以从各种外部存储器设备读取或写入到各种外部存储器设备。在一个实施例中,存储器交叉开关216具有至存储器接口218的连接,用以与I/O单元204通信,以及至并行处理器存储器222的本地实例的连接,从而使得不同的处理集群214A-214N内的处理单元能够与系统存储器或对于并行处理单元202而言非本地的其他存储器进行通信。在一个实施例中,存储器交叉开关216可以使用虚拟信道来分离集群214A-214N与分区单元220A-220N之间的业务流。
虽然在并行处理器200内示出了并行处理单元202的单个实例,但是可以包括并行处理单元202的任何数量的实例。例如,可以在单个插入式卡上提供并行处理单元202的多个实例,或者可以使多个插入式卡互连。即使并行处理单元202的不同实例具有不同数量的处理核、不同量的本地并行处理器存储器和/或其他配置差异,该不同实例也可以配置成互操作。例如并且在一个实施例中,并行处理单元202的一些实例可以包括相对于其他实例更高精度的浮点单元。结合并行处理单元202或并行处理器200的一个或多个实例的系统可以以多种配置和形状因数来实现,包括但不限于台式计算机、膝上型计算机或手持式个人计算机、服务器、工作站、游戏控制台和/或嵌入式系统。
图2B是根据实施例的分区单元220的框图。在一个实施例中,分区单元220是图2A的分区单元220A-220N中的一个的实例。如所示出的,分区单元220包括L2高速缓存221、帧缓冲器接口225和ROP 226(光栅操作单元)。L2高速缓存221是配置成执行从存储器交叉开关216和ROP 226所接收的加载和存储操作的读取/写入高速缓存。L2高速缓存221向帧缓冲器接口225输出读取未命中和紧急回写请求以用于处理。也可以经由帧缓冲器接口225向帧缓冲器发送更新以用于处理。在一个实施例中,帧缓冲器接口225与并行处理器存储器中的存储器单元中的一个对接,所述存储器单元诸如(例如,在并行处理器存储器222内的)图2的存储器单元224A-224N。
在图形应用中,ROP 226是执行诸如模板印刷(stencil)、z检验、混合等的光栅操作的处理单元。ROP 226然后输出经处理的图形数据,其被存储在图形存储器中。在一些实施例中,ROP 226包括压缩逻辑,用以压缩写入到存储器的深度或颜色数据以及对从存储器读取的深度或颜色数据解压缩。压缩逻辑可以是利用多种压缩算法中的一种或多种压缩算法的无损压缩逻辑。由ROP 226所执行的压缩的类型可以基于待压缩的数据的统计特性而变化。例如,在一个实施例中,△颜色压缩在逐图块(per-tile)的基础上对深度和颜色数据执行。
在一些实施例中,ROP 226被包括在每个处理集群(例如,图2的集群214A-214N)内而不是分区单元220内。在此类实施例中,通过存储器交叉开关216传输针对像素数据的读取和写入请求,而不是像素片段数据。经处理的图形数据可以显示在显示设备(诸如图1的一个或多个显示设备110中的一个)上,被路由以用于由(多个)处理器102进一步处理,或者被路由以用于由图2A的并行处理器200内的处理实体中的一个进一步处理。
图2C是根据实施例的并行处理单元内的处理集群214的框图。在一个实施例中,处理集群是图2的处理集群214A-214N中的一个的实例。处理集群214可以配置成并行地执行多个线程,其中术语“线程”是指在一组特定输入数据上执行的特定程序的实例。在一些实施例中,在不提供多个独立的指令单元的情况下,使用单指令多数据(SIMD)指令发布技术来支持大量线程的并行执行。在其他实施例中,单指令多线程(SIMT)技术被用于使用公用指令单元来支持大量一般同步的线程的并行执行,所述公用指令单元配置成向处理集群中的每一个内的一组处理引擎发布指令。与其中所有处理引擎通常执行相同指令的SIMD执行制度不同,SIMT执行允许不同线程以通过给定线程程序更容易地遵循有分歧的执行路径。本领域技术人员将理解,SIMD处理制度表示SIMT处理制度的功能子集。
处理集群214的操作可以经由向SIMT并行处理器分发处理任务的流水线管理器232来控制。流水线管理器232从图2的调度器210接收指令并且经由图形多处理器234和/或纹理单元236来管理那些指令的执行。所示出的图形多处理器234是SIMT并行处理器的示范实例。然而,不同架构的各种类型的SIMT并行处理器可以被包括在处理集群214内。图形多处理器234的一个或多个实例可以被包括在处理集群214内。图形多处理器234可以处理数据,并且数据交叉开关240可以用于将经处理的数据分发到包括其他着色器单元的多个可能目的地中的一个。流水线管理器232可以通过为将经由数据交叉开关240分发的经处理的数据指定目的地来促进经处理的数据的分发。
处理集群214内的每个图形多处理器234可以包括一组相同的功能执行逻辑(例如,算术逻辑单元、加载-存储单元等)。功能执行逻辑可以以流水线化方式进行配置,其中可以在完成先前的指令之前发布新的指令。功能执行逻辑支持多种操作,包括整数和浮点算术、比较操作、布尔操作、移位和各种代数函数的计算。在一个实施例中,可以利用相同的功能单元硬件来执行不同的操作,并且可能存在功能单元的任何组合。
传输到处理集群214的指令构成线程。跨并行处理引擎的集合执行的线程的集合是线程组。线程组对不同的输入数据执行相同的程序。线程组内的每个线程可以被指派给图形多处理器234内的不同的处理引擎。线程组可以包括比图形多处理器234内的处理引擎的数量更少的线程。当线程组包括比处理引擎的数量更少的线程时,处理引擎中的一个或多个可能在该线程组被处理的周期期间空闲。线程组还可以包括比图形多处理器234内的处理引擎的数量更多的线程。当线程组包括比图形多处理器234内的处理引擎的数量更多的线程时,可以在连续的时钟周期内执行处理。在一个实施例中,可以在图形多处理器234上同时执行多个线程组。
在一个实施例中,图形多处理器234包括用于执行加载和存储操作的内部高速缓冲存储器。在一个实施例中,图形多处理器234可以放弃内部高速缓存而是使用处理集群214内的高速缓冲存储器(例如,L1高速缓存308)。每个图形多处理器234还能够访问在所有处理集群214之间共享并且可以用于在线程之间传送数据的分区单元(例如,图2的分区单元220A-220N)内的L2高速缓存。图形多处理器234还可以访问芯片外全局存储器,所述芯片外全局存储器可以包括本地并行处理器存储器和/或系统存储器中的一个或多个。并行处理单元202外部的任何存储器可以用作全局存储器。其中处理集群214包括图形多处理器234的多个实例的实施例可以共享可以存储在L1高速缓存308中的公用指令和数据。
每个处理集群214可以包括配置成将虚拟地址映射成物理地址的MMU 245(存储器管理单元)。在其他实施例中,MMU 245的一个或多个实例可以驻留在图2的存储器接口218内。MMU 245包括一组页表条目(PTE),其用于将虚拟地址映射成图块(tile)的物理地址并且可选地映射成高速缓存行索引。MMU 245可以包括地址转换后备缓冲器(TLB)或高速缓存,它们可以驻留在图形多处理器234或L1高速缓存或处理集群214内。处理物理地址以分发表面数据访问局部性,以允许分区单元之间的高效请求交织。可以使用高速缓存行索引来确定对高速缓存行的请求是命中还是未命中。
在图形和计算应用中,处理集群214可以配置成使得每个图形多处理器234耦合至纹理单元236以用于执行纹理映射操作,例如确定纹理样本位置、读取纹理数据和过滤纹理数据。根据需要,从(未示出的)内部纹理L1高速缓存或者在一些实施例中从图形多处理器234内的L1高速缓存读取并且从L2高速缓存、本地并行处理器存储器或系统存储器获取纹理数据。每个图形多处理器234向数据交叉开关240输出经处理任务以向另一处理集群214提供该经处理任务用于进一步处理或以经由存储器交叉开关216将该经处理任务存储在L2高速缓存、本地并行处理器存储器或系统存储器中。preROP 242(预先光栅操作单元)配置成从图形多处理器234接收数据,将数据引导到ROP单元,所述ROP单元可以与如本文中所描述的分区单元(例如,图2的分区单元220A-220N)位于一起。preROP 242单元可以执行对颜色混合的优化、组织像素颜色数据并执行地址转换。
将领会,本文中所描述的核架构是说明性的并且变化和修改是可能的。任何数量的处理单元,例如图形多处理器234、纹理单元236、preROP 242等,可以被包括在处理集群214内。进一步地,虽然仅示出一个处理集群214,但如本文中所描述的并行处理单元可以包括处理集群214的任何数量的实例。在一个实施例中,每个处理集群214可以配置成使用分离且不同的处理单元、L1高速缓存等来独立于其他处理集群214进行操作。
图2D示出了根据一个实施例的图形多处理器234。在此类实施例中,图形多处理器234与处理集群214的流水线管理器232耦合。图形多处理器234具有执行流水线,所述执行流水线包括但不限于指令高速缓存252、指令单元254、地址映射单元256、寄存器堆258、一个或多个通用图形处理单元(GPGPU)核262和一个或多个加载/存储单元266。GPGPU核262和加载/存储单元266经由存储器和高速缓存互连268与高速缓冲存储器272和共享存储器270耦合。
在一个实施例中,指令高速缓存252从流水线管理器232接收要执行的指令流。所述指令被高速缓存在指令高速缓存252中并被分派以用于由指令单元254执行。指令单元254可以将指令分派为线程组(例如,线程束(warp)),其中线程组的每个线程被指派给GPGPU核262内的不同执行单元。指令可以通过指定统一地址空间内的地址来访问本地、共享或全局地址空间中的任何地址空间。地址映射单元256可以用于将统一地址空间中的地址转换成可由加载/存储单元266访问的不同存储器地址。
寄存器堆258为图形多处理器324的功能单元提供一组寄存器。寄存器堆258为连接至图形多处理器324的功能单元(例如,GPGPU核262、加载/存储单元266)的数据路径的操作数提供临时存储。在一个实施例中,在功能单元中的每个之间对寄存器堆258进行划分,使得每个功能单元被分配寄存器堆258的专用部分。在一个实施例中,在正由图形多处理器324执行的不同线程束之间对寄存器堆258进行划分。
GPGPU核262可以每个包括用于执行图形多处理器324的指令的浮点单元(FPU)和/或整数算术逻辑单元(ALU)。根据实施例,GPGPU核262可以在架构方面类似,或者可以在架构方面不同。例如并且在一个实施例中,GPGPU核262的第一部分包括单精度FPU和整数ALU,而GPGPU核的第二部分包括双精度FPU。在一个实施例中,FPU可以实现针对浮点算术的IEEE754-2008标准或使能可变精度浮点算术。图形多处理器324可以附加地包括一个或多个固定功能或特殊功能单元,用以执行诸如复制矩形或像素混合操作之类的特定功能。在一个实施例中,GPGPU核中的一个或多个还可以包括固定或特殊功能逻辑。
在一个实施例中,GPGPU核262包括能够对多组数据执行单指令的SIMD逻辑。在一个实施例中,GPGPU核262可以物理地执行SIMD4、SIMD8和SIMD16指令,并且逻辑地执行SIMD1、SIMD2和SIMD32指令。用于GPGPU核的SIMD指令可以由着色器编译器在编译时间生成,或者可以在执行针对单程序多数据(SPMD)或SIMT架构编写和编译的程序时自动生成。针对SIMT执行模型而配置的程序的多个线程可以经由单SIMD指令而执行。例如且在一个实施例中,执行相同或类似操作的八个SIMT线程可以经由单个SIMD8逻辑单元并行地执行。
存储器和高速缓存互连268是互连网络,所述互连网络将图形多处理器324的功能单元中的每个连接至寄存器堆258和共享存储器270。在一个实施例中,存储器和高速缓存互连268是允许加载/存储单元266在共享存储器270与寄存器堆258之间实现加载和存储操作的交叉开关互连。寄存器堆258可以以与GPGPU核262相同的频率进行操作,因此GPGPU核262与寄存器堆258之间的数据传送具有非常低的等待时间。共享存储器270可以用于使能在图形多处理器234内的功能单元上执行的线程之间的通信。例如,高速缓冲存储器272可以用作数据高速缓存,以高速缓存在功能单元与纹理单元236之间传送的纹理数据。共享存储器270也可以用作经高速缓存的受管理的程序。除了在高速缓冲存储器272内存储的经自动地高速缓存的数据之外,在GPGPU核262上执行的线程还可以在共享存储器内以编程方式存储数据。
图3A-3B示出了根据实施例的附加图形多处理器。所示出的图形多处理器325、350是图2C的图形多处理器234的变体。所示出的图形多处理器325、350可以被配置为能够同时执行大量执行线程的流式多处理器(SM)。
图3A示出了根据附加实施例的图形多处理器325。图形多处理器325包括与图2D的图形多处理器234有关的执行资源单元的多个附加实例。例如,图形多处理器325可以包括指令单元332A-332B、寄存器堆334A-334B和(多个)纹理单元344A-344B的多个实例。图形多处理器325还包括多组图形或计算执行单元(例如,GPGPU核336A-336B、GPGPU核337A-337B、GPGPU核338A-338B)和多组加载/存储单元340A-340B。在一个实施例中,执行资源单元具有公用指令高速缓存330、纹理和/或数据高速缓冲存储器342和共享存储器346。
各种部件可以经由互连结构327进行通信。在一个实施例中,互连结构327包括一个或多个交叉开关,用以使能图形多处理器325的各种部件之间的通信。在一个实施例中,互连结构327是分开的高速网络结构层,图形多处理器325的每个部件堆叠在该分开的高速网络结构层上。图形多处理器325的部件经由互连结构327与远程部件通信。例如,GPGPU核336A-336B、337A-337B以及3378A-338B可以各自经由互连结构327与共享存储器346通信。互连结构327可以仲裁图形多处理器325内的通信以确保部件之间的公平带宽分配。
图3B示出了根据附加实施例的图形多处理器350。该图形处理器包括多组执行资源356A-356D,其中每组执行资源包括多个指令单元、寄存器堆、GPGPU核和加载存储单元,如图2D和图3A中所示出的。执行资源356A-356D可以与(多个)纹理单元360A-360D一致地工作以用于纹理操作,同时共享了指令高速缓存354和共享存储器362。在一个实施例中,执行资源356A-356D可以共享指令高速缓存354和共享存储器362以及纹理和/或数据高速缓冲存储器358A-358B的多个实例。各种部件可以经由与图3A的互连结构327类似的互连结构352进行通信。
本领域技术人员将理解,图1、2A-2D和3A-3B中所描述的架构就本发明的实施例的范围而言是描述性的而非限制性的。因此,本文中所描述的技术可以在任何恰当地配置的处理单元上实现,所述处理单元包括但不限于一个或多个移动应用处理器、一个或多个台式计算机或服务器中央处理单元(CPU)(包括多核CPU)、一个或多个并行处理单元(诸如图2的并行处理单元202)、以及一个或多个图形处理器或专用处理单元,而不脱离本文中所描述的实施例的范围。
在一些实施例中,如本文中所描述的并行处理器或GPGPU通信地耦合至主机/处理器核以使图形操作、机器学习操作、模式分析操作和各种通用GPU(GPGPU)功能加速。GPU可以通过总线或其他互连(例如,诸如PCIe或NVLink之类的高速互连)通信地耦合至主机处理器/核。在其他实施例中,GPU可以集成在与核相同的封装或芯片上并且通过内部处理器总线/互连(即,在封装或芯片内部)通信地耦合至所述核。不管GPU被连接的方式,处理器核都可以以工作描述符中包含的命令/指令序列的形式向GPU分配工作。GPU然后使用专用电路/逻辑以用于高效地处理这些命令/指令。
用于GPU到主机处理器互连的技术
图4A示出了其中多个GPU 410-413通过高速链路440-443(例如,总线、点对点互连等)通信地耦合至多个多核处理器405-406的示范架构。在一个实施例中,高速链路440-443支持4GB/s、30GB/s、80GB/s或更高的通信吞吐量,这取决于实现。可以使用各种互连协议,包括但不限于PCIe 4.0或5.0和NVLink 2.0。然而,本发明的基本原理不限于任何特定的通信协议或吞吐量。
另外,在一个实施例中,GPU 410-413中的两个或更多个通过高速链路444-445互连,所述高速链路444-445可以使用与用于高速链路440-443的那些协议/链路相同或不同的协议/链路来实现。类似地,多核处理器405-406中的两个或更多个可以通过高速链路433连接,所述高速链路433可以是以20GB/s、30GB/s、120GB/s或更高来操作的对称多处理器(SMP)总线。替代地,图4A中示出的各种系统部件之间的所有通信可以使用相同的协议/链路(例如,通过公用互连结构)来完成。然而,如所提及的,本发明的基本原理不限于任何特定类型的互连技术。
在一个实施例中,每个多核处理器405-406分别经由存储器互连430-431通信地耦合至处理器存储器401-402,并且每个GPU 410-413分别通过GPU存储器互连450-453通信地耦合至GPU存储器420-423。存储器互连430-431和450-453可以利用相同或不同的存储器访问技术。作为示例而非限制,处理器存储器401-402和GPU存储器420-423可以是易失性存储器,诸如动态随机存取存储器(DRAM)(包括堆叠式DRAM)、图形DDR SDRAM(GDDR)(例如,GDDR5、GDDR6)或高带宽存储器(HBM),和/或可以是非易失性存储器,诸如3D XPoint或Nano-RAM。在一个实施例中,存储器的某个部分可以是易失性存储器并且另一部分可以是非易失性存储器(例如,使用两级存储器(2LM)层级结构(hierarchy))。
如以下所描述的,尽管各种处理器405-406和GPU 410-413可以分别物理地耦合至特定存储器401-402、420-423,但可以实现统一的存储器架构,其中相同的虚拟系统地址空间(也称为“有效地址”空间)分布在所有各种物理存储器之中。例如,处理器存储器401-402可以每个包括64GB的系统存储器地址空间,并且GPU存储器420-423可以每个包括32GB的系统存储器地址空间(在该示例中导致总共256GB的可寻址存储器)。
图4B示出了依照一个实施例的多核处理器407与图形加速模块446之间的互连的附加细节。图形加速模块446可以包括集成在经由高速链路440耦合至处理器407的线卡上的一个或多个GPU芯片。替代地,图形加速模块446可以集成在与处理器407相同的封装或芯片上。
所示出的处理器407包括多个核460A-460D,每个具有转换后备缓冲器461A-461D和一个或多个高速缓存462A-462D。所述核可以包括用于执行指令和处理数据的各种其他部件(例如,指令获取单元、分支预测单元、解码器、执行单元、重排序缓冲器等),其未被示出以避免模糊本发明的基本原理。高速缓存462A-462D可以包括1级(L1)和2级(L2)高速缓存。另外,一个或多个共享高速缓存426可以被包括在高速缓存层级结构中并由核460A-460D的集合共享。例如,处理器407的一个实施例包括24个核,每个具有它自己的L1高速缓存、12个共享的L2高速缓存和12个共享的L3高速缓存。在该实施例中,L2高速缓存和L3高速缓存中的一个由两个相邻核共享。处理器407和图形加速器集成模块446与系统存储器441连接,所述系统存储器441可以包括处理器存储器401-402。
通过一致性总线464经由核间通信来为各种高速缓存462A-462D、456和系统存储器441中存储的数据和指令维持一致性。例如,每个高速缓存可以具有与其相关联的高速缓存一致性逻辑/电路,以响应于所检测的对特定高速缓存行的读取或写入而通过一致性总线464进行通信。在一个实现中,通过一致性总线464实现高速缓存窥探协议以窥探高速缓存访问。高速缓存窥探/一致性技术被本领域技术人员良好地理解,并且将不在这里详细地描述以避免模糊本发明的基本原理。
在一个实施例中,代理电路425将图形加速模块446通信地耦合至一致性总线464,从而允许图形加速模块446作为核的对等体参与高速缓存一致性协议。具体地,接口435通过高速链路440(例如,PCIe总线、NVLink等)向代理电路425提供连接性,并且接口437将图形加速模块446连接至高速链路440。
在一个实现中,加速器集成电路436代表图形加速模块446的多个图形处理引擎431、432、N提供高速缓存管理、存储器访问、上下文管理和中断管理服务。图形处理引擎431、432、N可以每个包括单独的图形处理单元(GPU)。替代地,图形处理引擎431、432、N可以包括GPU内的不同类型的图形处理引擎,诸如图形执行单元、媒体处理引擎(例如,视频编码器/解码器)、采样器和位块传输引擎。换言之,图形加速模块可以是具有多个图形处理引擎431-432、N的GPU,或图形处理引擎431-432、N可以是集成在公用封装、线卡或芯片上的单独的GPU。
在一个实施例中,加速器集成电路436包括存储器管理单元(MMU)439,用于执行诸如虚拟到物理存储器转换(也称为有效到实存储器转换)之类的各种存储器管理功能和用于访问系统存储器441的存储器访问协议。MMU 439还可以包括转换后备缓冲器(TLB)(未示出),用于高速缓存虚拟/有效到物理/实际地址转换。在一个实现中,高速缓存438存储命令和数据,用于由图形处理引擎431-432、N高效访问。在一个实施例中,使高速缓存438和图形存储器433-434、N中存储的数据与核高速缓存462A-462D、456和系统存储器411保持一致。如所提及的,这可以经由代理电路425来完成,所述代理电路425代表高速缓存438和存储器433-434、N参与高速缓存一致性机制(例如,向高速缓存438发送与处理器高速缓存462A-462D、456上的高速缓存行的修改/访问相关的更新并从高速缓存438接收更新)。
一组寄存器445为由图形处理引擎431-432、N执行的线程存储上下文数据,并且上下文管理电路448管理线程上下文。例如,上下文管理电路448可以执行保存和恢复操作以在上下文切换(例如,其中保存第一线程并且存储第二线程以使得第二线程可以由图形处理引擎执行)期间保存和恢复各种线程的上下文。例如,在上下文切换时,上下文管理电路448可以将当前寄存器值存储到(例如,由上下文指针标识的)存储器中的指定区域。其于是可以在返回到该上下文时恢复寄存器值。在一个实施例中,中断管理电路447接收并处理从系统设备所接收的中断。
在一个实现中,由MMU 439将来自图形处理引擎431的虚拟/有效地址转换成系统存储器411中的实/物理地址。加速器集成电路436的一个实施例支持多个(例如,4个、8个、16个)图形加速器模块446和/或其他加速器设备。图形加速器模块446可以专用于在处理器407上执行的单个应用,或者可以在多个应用之间共享。在一个实施例中,呈现虚拟化的图形执行环境,其中图形处理引擎431-432、N的资源与多个应用或虚拟机(VM)共享。资源可以被细分成“切片(slice)”,所述切片被基于与VM和/或应用相关联的处理要求和优先级而分配给不同的VM和/或应用。
因此,加速器集成电路充当到图形加速模块446的系统的桥,并提供地址转换和系统存储器高速缓存服务。另外,加速器集成电路436可以为主机处理器提供虚拟化设施以管理对图形处理引擎、中断和存储器管理的虚拟化。
因为图形处理引擎431-432、N的硬件资源被显式地映射到由主机处理器407看到的实际地址空间,所以任何主机处理器都可以使用有效地址值对这些资源进行直接寻址。在一个实施例中,加速器集成电路436的一个功能是图形处理引擎431-432、N的物理分离,使得它们对系统表现为独立单元。
如所提及的,在所示出的实施例中,一个或多个图形存储器433-434、M分别耦合至图形处理引擎431-432、N中的每个。图形存储器433-434、M存储正由图形处理引擎431-432、N中的每个处理的指令和数据。图形存储器433-434、M可以是易失性存储器,诸如DRAM(包括堆叠式DRAM)、GDDR存储器(例如,GDDR5、GDDR6)或HBM,和/或可以是非易失性存储器,诸如3D XPoint或Nano-Ram。
在一个实施例中,为了减少高速链路440上的数据业务,使用偏置技术来确保图形存储器433-434、M中存储的数据是将被图形处理引擎431-432、N最频繁地使用并且核460A-460D优选不使用(至少不频繁地使用)的数据。类似地,偏置机制试图使核(并且优选地不是图形处理引擎431-432、N)所需的数据保持在核的高速缓存462A-462D、456和系统存储器411内。
图4C示出了其中加速器集成电路436集成在处理器407内的另一实施例。在该实施例中,图形处理引擎431-432、N经由接口437和接口435(再次,其可以利用任何形式的总线或接口协议)通过高速链路440与加速器集成电路436直接通信。加速器集成电路436可以执行与关于图4B所描述的那些操作相同的操作,但考虑到其紧密接近于一致性总线462和高速缓存462A-462D、426,可能以较高的吞吐量执行操作。
一个实施例支持不同的编程模型,其包括专用进程编程模型(没有图形加速模块虚拟化)和共享编程模型(具有虚拟化)。共享编程模型可以包括由加速器集成电路436控制的编程模型和由图形加速模块446控制的编程模型。
在专用进程模型的一个实施例中,图形处理引擎431-432、N在单个操作系统下专用于单个应用或进程。该单个应用可以将其他应用请求汇集到图形引擎431-432、N,从而在VM/分区内提供虚拟化。
在专用进程编程模型中,图形处理引擎431-432、N可以由多个VM/应用分区共享。共享的模型要求系统管理程序将图形处理引擎431-432、N虚拟化,以允许由每个操作系统的访问。对于没有管理程序的单分区系统,图形处理引擎431-432、N由操作系统拥有(own)。在两个情况下,操作系统可以将图形处理引擎431-432、N虚拟化以提供对每个进程或应用的访问。
对于共享编程模型,图形加速模块446或单独的图形处理引擎431-432、N使用进程句柄来选择进程元素。在一个实施例中,进程元素被存储在系统存储器411中并且可使用本文中所描述的有效地址到实际地址转换技术来寻址。进程句柄可以是在向图形处理引擎431-432、N登记它的上下文(即,调用系统软件以向进程元素链表添加进程元素)时提供给主机进程的实现特定的值。进程句柄的较低16位可以是进程元素链表内的进程元素的偏移。
图4D示出了示范加速器集成切片490。如本文中所使用的,“切片”包括加速器集成电路436的处理资源的指定部分。系统存储器411内的应用有效地址空间482存储进程元素483。在一个实施例中,响应于来自在处理器407上执行的应用480的GPU调用481而存储进程元素483。进程元素483包含针对对应的应用480的进程状态。进程元素483中包含的工作描述符(WD)484可以是应用所请求的单个作业,或者可以包含指向作业队列的指针。在后面的情况下,WD 484是指向应用的地址空间482中的作业请求队列的指针。
图形加速模块446和/或单独的图形处理引擎431-432、N可以由系统中的进程的全部或子集所共享。本发明的实施例包括用于建立进程状态并向图形加速模块446发送WD484以在虚拟化环境中开始作业的基础结构。
在一个实现中,专用进程编程模型是实现特定的。在该模型中,单个进程拥有图形加速模块446或单独的图形处理引擎431。因为图形加速模块446由单个进程拥有,所以管理程序针对拥有的分区来初始化加速器集成电路436,并且操作系统在图形加速模块446被指派时针对拥有的进程来初始化加速器集成电路436。
在操作中,加速器集成切片490中的WD获取单元491获取下一个WD 484,所述下一个WD 484包括对要由图形加速模块446的图形处理引擎中的一个完成的工作的指示。来自WD 484的数据可以被存储在寄存器445中并由如所示出的MMU 439、中断管理电路447和/或上下文管理电路448使用。例如,MMU 439的一个实施例包括用于访问OS虚拟地址空间485内的段/页表486的段/页行走电路(walk circuitry)。中断管理电路447可以处理从图形加速模块446所接收的中断事件492。当执行图形操作时,由MMU 439将图形处理引擎431-432、N生成的有效地址493转换成实际地址。
在一个实施例中,针对每个图形处理引擎431-432、N和/或图形加速模块446复制同一组寄存器445,并且可以由管理程序或操作系统初始化该同一组寄存器445。这些复制的寄存器中的每个可以被包括在加速器集成切片490中。表1中示出了可以由管理程序初始化的示范寄存器。
表1-管理程序初始化的寄存器
1 切片控制寄存器
2 实际地址(RA)调度的进程区域指针
3 权限掩蔽覆盖寄存器
4 中断向量表条目偏移
5 中断向量表条目限制
6 状态寄存器
7 逻辑分区ID
8 实际地址(RA)管理程序加速器利用记录指针
9 存储描述寄存器
表2中示出了可以由操作系统初始化的示范寄存器。
表2-操作系统初始化的寄存器
1 进程和线程标识
2 有效地址(EA)上下文保存/恢复指针
3 虚拟地址(VA)加速器利用记录指针
4 虚拟地址(VA)存储段表指针
5 权限掩蔽
6 工作描述符
在一个实施例中,每个WD 484特定于特定图形加速模块446和/或图形处理引擎431-432、N。其包含图形处理引擎431-432、N做其工作所需的所有信息,或者其可以是指向在其处应用已经建立要完成的工作的命令队列的存储器位置的指针。
图4E示出了共享模型的一个实施例的附加细节。该实施例包括其中存储了进程元素列表499的管理程序实际地址空间498。管理程序实际地址空间498可经由管理程序496来访问,所述管理程序496将用于操作系统495的图形加速模块引擎虚拟化。
共享编程模型允许来自系统中的分区的全部或子集的进程的全部或子集使用图形加速模块446。有两个编程模型,其中图形加速模块446由多个进程和分区共享:时间切片共享和图形定向共享。
在该模型中,系统管理程序496拥有图形加速模块446并且使其功能对所有操作系统495可用。为使图形加速模块446支持由系统管理程序496进行的虚拟化,图形加速模块446可以遵守以下要求:1)应用的作业请求必须是自主的(即,不需要在作业之间维持状态),或者图形加速模块446必须提供上下文保存和恢复机制。2)图形加速模块446保证在指定时间量内完成应用的作业请求,包括任何转换故障,或者图形加速模块446提供抢占对作业的处理的能力。3)当以定向共享编程模型操作时,必须在进程之间保证图形加速模块446的公平性。
在一个实施例中,对于共享模型,要求应用480利用图形加速模块446类型、工作描述符(WD)、权限掩蔽寄存器(AMR)值以及上下文保存/恢复区域指针(CSRP)来做出操作系统495系统调用。图形加速模块446类型描述了用于系统调用的目标加速功能。图形加速模块446类型可以是系统特定的值。WD被特别针对图形加速模块446来格式化,并且可以采用以下形式:图形加速模块446命令、指向用户定义结构的有效地址指针、指向命令队列的有效地址指针、或用于描述要由图形加速模块446完成的工作的任何其他数据结构。在一个实施例中,AMR值是用于当前进程的AMR状态。传递给操作系统的值与设置AMR的应用类似。如果加速器集成电路436和图形加速模块446的实现不支持用户权限掩蔽覆盖寄存器(UAMOR),则操作系统可以在在管理程序调用中传递AMR之前向AMR值应用当前UAMOR值。在将AMR置于进程元素483中之前,管理程序496可以可选地应用当前权限掩蔽覆盖寄存器(AMOR)值。在一个实施例中,CSRP是寄存器445中的一个,其包含应用的地址空间482中的区域的有效地址以用于使图形加速模块446保存和恢复上下文状态。如果不要求在作业之间保存状态或当作业被抢占时,该指针是可选的。上下文保存/恢复区域可以是固定的(pinned)系统存储器。
在接收到系统调用时,操作系统495可以验证应用480已注册并被给予使用图形加速模块446的权限。操作系统495然后利用表3中示出的信息来调用管理程序496。
表3-OS对管理程序调用参数
1 工作描述符(WD)
2 (可能被掩蔽的)权限掩蔽寄存器(AMR)值
3 有效地址(EA)上下文保存/恢复区域指针(CSRP)
4 进程ID(PID)和可选的线程ID(TID)
5 虚拟地址(VA)加速器利用记录指针(AURP)
6 存储段表指针(SSTP)的虚拟地址
7 逻辑中断服务号(LISN)
在接收到管理程序调用时,管理程序496验证操作系统495已注册并被给予使用图形加速模块446的权限。管理程序496然后将进程元素483放入针对对应的图形加速模块446类型的进程元素链表中。进程元素可以包括表4中示出的信息。
表4-进程元素信息
1 工作描述符(WD)
2 (可能被掩蔽的)权限掩蔽寄存器(AMR)值
3 有效地址(EA)上下文保存/恢复区域指针(CSRP)
4 进程ID(PID)和可选的线程ID(TID)
5 虚拟地址(VA)加速器利用记录指针(AURP)
6 存储段表指针(SSTP)的虚拟地址
7 逻辑中断服务号(LISN)
8 从管理程序调用参数导出的中断向量表
9 状态寄存器(SR)值
10 逻辑分区ID(LPID)
11 实际地址(RA)管理程序加速器利用记录指针
12 存储描述符寄存器(SDR)
在一个实施例中,管理程序初始化多个加速器集成切片490寄存器445。
如图4F中所示出的,本发明的一个实施例采用可经由用于访问物理处理器存储器401-402和GPU存储器420-423的公用虚拟存储器地址空间来寻址的统一存储器。在该实现中,在GPU 410-413上执行的操作利用相同的虚拟/有效存储器地址空间来访问处理器存储器401-402,并且反之亦然,由此简化可编程性。在一个实施例中,将虚拟/有效地址空间的第一部分分配给处理器存储器401,将第二部分分配给第二处理器存储器402,将第三部分分配给GPU存储器420,以此类推。整个虚拟/有效存储器空间(有时称为有效地址空间)由此跨处理器存储器401-402和GPU存储器420-423中的每个分布,从而允许任何处理器或GPU利用映射到任何物理存储器的虚拟地址来访问该存储器。
在一个实施例中,MMU 439A-439E中的一个或多个内的偏置/一致性管理电路494A-494E确保主机处理器(例如,405)与GPU 410-413的高速缓存之间的高速缓存一致性,并且实现指示其中应当存储某些类型的数据的物理存储器的偏置技术。虽然在图4F中示出了偏置/一致性管理电路494A-494E的多个实例,但可以在一个或多个主机处理器405的MMU内和/或在加速器集成电路436内实现偏置/一致性电路。
一个实施例允许将GPU附接的存储器420-423映射为系统存储器的部分,并使用共享虚拟存储器(SVM)技术进行访问,但不会遭受与全系统高速缓存一致性相关联的典型性能缺陷。GPU附接的存储器420-423被作为系统存储器来访问而没有繁重的高速缓存一致性开销的能力为GPU卸载提供有利的操作环境。该布置允许主机处理器405软件设置操作数并访问计算结果,而不具有传统I/O直接存储器访问(DMA)数据拷贝的开销。此类传统拷贝涉及驱动器调用、中断和存储器映射的I/O(MMIO)访问,其相对于简单存储器访问来说都是低效的。同时,访问GPU附接的存储器420-423而没有高速缓存一致性开销的能力对于卸载计算的执行时间而言可能是关键的。例如,在具有大量流式写入存储器业务的情况下,高速缓存一致性开销可以显著减小由GPU410-413看到的有效写入带宽。操作数设置的效率、结果访问的效率以及GPU计算的效率都在确定GPU卸载的效力中发挥作用。
在一个实现中,在GPU偏置与主机处理器偏置之间的选择由偏置跟踪器数据结构驱动。例如,可以使用偏置表,所述偏置表可以是每一GPU附接存储器页包括1或2位的页粒度结构(即,以存储器页的粒度来控制)。可以在一个或多个GPU附接存储器420-423的被偷存储器范围中实现偏置表,在GPU 410-413中具有或不具有偏置高速缓存(例如,以高速缓存频繁/最近使用的偏置表的条目)。替代地,可以在GPU内维持整个偏置表。
在一个实现中,在对GPU存储器的实际访问之前访问与对GPU附接存储器420-423的每次访问相关联的偏置表条目,从而引起以下操作。首先,将来自GPU410-413的在GPU偏置中发现其页的本地请求直接转发到对应的GPU存储器420-423。(例如,通过如以上讨论的高速链路)将来自GPU的在主机偏置中发现其页的本地请求转发到处理器405。在一个实施例中,来自处理器405的在主机处理器偏置中发现所请求的页的请求完成像正常存储器读取那样的请求。替代地,可以将涉及GPU偏置页的请求转发给GPU 410-413。如果GPU当前未正在使用该页,则GPU然后可以将该页转换成主机处理器偏置。
可以通过基于软件的机制、基于硬件辅助的软件的机制,或者对于一组有限的情况基于纯硬件的机制,来改变页的偏置状态。
用于改变偏置状态的一个机制采用API调用(例如OpenCL),所述API调用继而调用GPU的设备驱动器,所述设备驱动器继而向GPU发送引导它改变偏置状态的消息(或将命令描述符入队),并且对于某些转换,在主机中执行高速缓存转储清除操作。高速缓存转储清除操作是从主机处理器405偏置到GPU偏置的转换所需的,但不是相反转换所需的。
在一个实施例中,通过暂时渲染主机处理器405不可高速缓存的GPU偏置页来维持高速缓存一致性。为了访问这些页,处理器405可以向GPU 410请求访问,所述GPU410可能或可能不立即准予访问,这取决于实现。因此,为了减少处理器405与GPU 410之间的通信,有利的是确保GPU偏置页是GPU所需但不是主机处理器405所需的那些页,并且反之亦然。
图形处理流水线
图5示出了根据实施例的图形处理流水线500。在一个实施例中,图形处理器可以实现所示出的图形处理流水线500。图形处理器可以被包括在如本文中所描述的并行处理子系统(诸如图2的并行处理器200)内,其在一个实施例中是图1的(多个)并行处理器112的变体。各种并行处理系统可以经由如本文中所描述的并行处理单元(例如,图2的并行处理单元202)的一个或多个实例来实现图形处理流水线500。例如,着色器单元(例如,图3的图形多处理器234)可以配置成执行顶点处理单元504、曲面细分控制处理单元508、曲面细分评估处理单元512、几何处理单元516和片段/像素处理单元524中的一个或多个的功能。数据组装器502,图元组装器506、514、518,曲面细分单元510,光栅化器522和光栅操作单元526的功能还可以由处理集群(例如,图3的处理集群214)内的其他处理引擎和对应的分区单元(例如,图2的分区单元220A-220N)来执行。图形处理流水线500还可以使用用于一个或多个功能的专用处理单元来实现。在一个实施例中,图形处理流水线500的一个或多个部分可以由通用处理器(例如,CPU)内的并行处理逻辑来执行。在一个实施例中,图形处理流水线500的一个或多个部分可以经由存储器接口528访问芯片上存储器(例如,如图2中的并行处理器存储器222),所述存储器接口528可以是图2的存储器接口218的实例。
在一个实施例中,数据组装器502是收集表面和图元的顶点数据的处理单元。数据组装器502然后向顶点处理单元504输出包括顶点属性的顶点数据。顶点处理单元504是可编程执行单元,所述可编程执行单元执行顶点着色器程序,从而如由顶点着色器程序所指定那样对顶点数据进行光照(lighting)和变换。顶点处理单元504读取在高速缓存、本地或系统存储器中存储的供在处理顶点数据中使用的数据,并且可以被编程成将顶点数据从基于对象的坐标表示变换成世界空间坐标空间或归一化的设备坐标空间。
图元组装器506的第一实例从顶点处理单元504接收顶点属性。图元组装器506根据需要读取所存储的顶点属性并构造图形图元以用于由曲面细分控制处理单元508进行处理。图形图元包括如由各种图形处理应用编程接口(API)所支持的三角形、线段、点、补丁等。
曲面细分控制处理单元508将输入顶点视为针对几何补丁的控制点。所述控制点从来自补丁的输入表示(例如,补丁的基础)变换成适于在由曲面细分评估处理单元512进行的表面评估中使用的表示。曲面细分控制处理单元508还可以计算针对几何补丁的边缘的曲面细分因子。曲面细分因子适用于单个边缘,并量化与边缘相关联的依赖于视图的细节等级。曲面细分单元510配置成接收针对补丁的边缘的曲面细分因子并将补丁细分成诸如线、三角形或四边形图元之类的多个几何图元,所述多个几何图元被传输到曲面细分评估处理单元512。曲面细分评估处理单元512对细分的补丁的参数化坐标进行操作以生成与几何图元相关联的每个顶点的顶点属性和表面表示。
图元组装器514的第二实例从曲面细分评估处理单元512接收顶点属性,根据需要读取所存储的顶点属性,并构造图形图元以用于由几何处理单元516处理。几何处理单元516是可编程执行单元,所述可编程执行单元执行几何着色器程序以如由几何着色器程序所指定那样变换从图元组装器514所接收的图形图元。在一个实施例中,几何处理单元516被编程成将图形图元细分成一个或多个新的图形图元并且计算用于将新的图形图元光栅化的参数。
在一些实施例中,几何处理单元516可以在几何流中添加或删除元素。几何处理单元516向图元组装器518输出指定新的图形图元的参数和顶点。图元组装器518从几何处理单元516接收参数和顶点,并构建图形图元以由视口缩放、拣选(cull)和剪辑(clip)单元520进行处理。几何处理单元516读取并行处理器存储器或系统存储器中存储的数据以供在处理几何数据中使用。视口缩放、拣选和剪辑单元520执行剪辑、拣选和视口缩放,并向光栅化器522输出经处理的图形图元。
光栅化器522可以执行深度拣选和其他基于深度的优化。光栅化器522还对新图形图元执行扫描转换以生成片段并向片段/像素处理单元524输出那些片段和关联的覆盖数据。片段/像素处理单元524是配置成执行片段着色器程序或像素着色器程序的可编程执行单元。片段/像素处理单元524变换从光栅化器522所接收的片段或像素,如由片段或像素着色器程序所指定的那样。例如,片段/像素处理单元524可以被编程成执行包括但不限于纹理映射、着色、混合、纹理校正和透视校正的操作,以产生输出到光栅操作单元526的着色片段或像素。片段/像素处理单元524可以读取并行处理器存储器或系统存储器中存储的数据,以供在处理片段数据时使用。片段或像素着色器程序可以配置成根据针对处理单元所配置的采样速率以样本、像素、图块或其他粒度进行着色。
光栅操作单元526是处理单元,其执行包括但不限于模板印刷、z检验、混合等的光栅操作,并且将像素数据作为经处理的图形数据输出以存储在图形存储器(例如,如图2中的并行处理器存储器222,和/或如图1中的系统存储器104)中,以显示在一个或多个显示设备110上或者用于由一个或多个处理器102或(多个)并行处理器112中的一个进行进一步处理。在一些实施例中,光栅操作单元526配置成压缩写入到存储器的z或颜色数据,并解压缩从存储器读取的z或颜色数据。
机器学习概述
机器学习算法是可以基于一组数据来学习的算法。机器学习算法的实施例可以被设计成对数据集内的高级抽象进行建模。例如,图像识别算法可以用于确定给定的输入属于若干种类别中的哪一个;回归算法可以在给定输入的情况下输出数值;并且模式识别算法可以用于生成翻译文本或执行文本至语音和/或语音识别。
一个示范类型的机器学习算法是神经网络。存在许多类型的神经网络;一个简单类型的神经网络是前馈网络。可将前馈网络实现为非循环图,其中节点被布置在层中。通常,前馈网络拓扑包括输入层和输出层,所述输入层和输出层通过至少一个隐藏层而分离。隐藏层将由输入层接收到的输入变换成对在输出层中生成输出有用的表示。网络节点经由边而全连接至相邻层中的节点,但每个层内的节点之间不存在边。在前馈网络的输入层的节点处接收的数据经由激活函数被传播(即,“前馈”)至输出层的节点,所述激活函数基于系数(“权重”)来计算网络中的每个连续层的节点的状态,所述系数分别与连接所述层的边中的每个相关联。取决于由执行的算法所表示的特定模型,来自神经网络算法的输出可以采取各种形式。
在可以使用机器学习算法对特定问题进行建模之前,使用训练数据集来训练该算法。训练神经网络涉及选择网络拓扑、使用表示通过网络建模的问题的一组训练数据,以及调整权重直到网络模型针对训练数据集的所有实例表现具有最小误差。例如,在用于神经网络的监督学习训练过程期间,将由网络响应于输入表示训练数据集中的实例而产生的输出与该实例的“正确的”经标记的输出相比较,计算表示输出与经标记的输出之间的差异的误差信号,并且当将误差信号向后传播穿过网络的层时,调整与连接相关联的权重以使误差最小化。当根据训练数据集的实例所生成的每个输出的误差被最小化时,网络被认为是“经训练的”。
机器学习算法的准确度可能被用于训练该算法的数据集的质量显著影响。训练过程可以是计算密集的,并且在常规通用处理器上可能需要大量时间。因此,使用并行处理硬件来训练许多类型的机器学习算法。这对于优化神经网络的训练是特别有用的,因为在调整神经网络中的系数时执行的计算本身自然地有助于并行实现。具体地,许多机器学习算法和软件应用已被适配成在通用图形处理设备内使用并行处理硬件。
图6是机器学习软件栈600的广义图。机器学习应用602可以被配置成使用训练数据集来训练神经网络或被配置成使用经训练的深度神经网络来实现机器智能。机器学习应用602可以包括可以被用于在部署之前训练神经网络的专门软件和/或神经网络的训练和推断功能。机器学习应用602可以实现任何类型的机器智能,包括但不限于图像识别、映射和定位、自主导航、语音合成、医学成像或语言翻译。
可以经由机器学习块架604使能针对机器学习应用602的硬件加速。机器学习块架604可以提供机器学习原语库。机器学习原语是机器学习算法通常执行的基本操作。在没有机器学习块架604的情况下,将要求机器学习算法的开发者创建和优化与机器学习算法相关联的主要计算逻辑,然后在开发出新的并行处理器时重新优化该计算逻辑。相反,机器学习应用可以被配置成使用由机器学习块架604提供的原语来执行必要的计算。示范原语包括张量卷积、激活函数以及池化,它们是在训练卷积神经网络(CNN)时执行的计算操作。机器学习块架604还可以提供原语以实现由许多机器学习算法执行的基本线性代数子程序,诸如矩阵和向量操作。
机器学习块架604可以处理从机器学习应用602接收的输入数据,并生成至计算块架606的适当输入。计算块架606可以使提供给GPGPU驱动器608的基本指令抽象化,以使得机器学习块架604能够经由GPGPU硬件610来利用硬件加速而不要求机器学习块架604非常熟悉GPGPU硬件610的架构。另外,计算块架606可以使能跨多种类型和各代GPGPU硬件610的针对机器学习块架604的硬件加速。
GPGPU机器学习加速
图7图示了根据实施例的高度并行通用图形处理单元700。在一个实施例中,通用处理单元(GPGPU)700可以被配置成在处理与训练深度神经网络相关联的类型的计算工作负荷时特别高效。另外,GPGPU 700可以直接链接至GPGPU的其他实例以创建多GPU集群,以改进特别深的神经网络的训练速度。
GPGPU 700包括用于使能与主机处理器的连接的主机接口702。在一个实施例中,主机接口702是PCI Express接口。然而,主机接口还可以是供应方特定的通信接口或通信结构。GPGPU 700从主机处理器接收命令,并使用全局调度器704将与那些命令相关联的执行线程分发给一组计算集群706A-706H。计算集群706A-706H共享高速缓冲存储器708。高速缓冲存储器708可以充当计算集群706A-706H内的高速缓冲存储器中的高级高速缓存。
GPGPU 700包括存储器714A-714B,所述存储器714A-714B经由一组存储器控制器712A-712B与计算集群706A-H耦合。在各种实施例中,存储器714A-714B可以包括各种类型的存储器设备,包括动态随机存取存储器(DRAM)或图形随机存取存储器,诸如同步图形随机存取存储器(SGRAM)(包括图形双数据速率(GDDR)存储器)或3D堆叠式存储器(包括但不限于高带宽存储器(HBM))。
在一个实施例中,每个计算集群706A-706H包括一组图形多处理器,诸如图4A的图形多处理器400。计算集群的图形多处理器包括多个类型的整数和浮点逻辑单元,所述单元可以在一系列精度(包括适合于机器学习计算的精度)下执行计算操作。例如且在一个实施例中,计算集群706A-706H中的每个中的浮点单元的至少一个子集可以被配置成执行16位或32位浮点操作,而浮点单元的不同子集可以被配置成执行64位浮点操作。
GPGPU 700的多个实例可以被配置成作为计算集群进行操作。由计算集群用于同步和数据交换的通信机制跨实施例变化。在一个实施例中,GPGPU 700的多个实例通过主机接口702来通信。在一个实施例中,GPGPU 700包括使GPGPU 700与GPU链路710耦合的I/O中枢709,所述GPU链路710使能至GPGPU的其他实例的直接连接。在一个实施例中,GPU链路710耦合至专用GPU至GPU桥,所述桥使能GPGPU700的多个实例之间的通信和同步。在一个实施例中,GPU链路710与高速互连相耦合,以将数据传输至其他GPGPU或并行处理器和接收数据。在一个实施例中,GPGPU700的多个实例位于单独的数据处理系统中并且经由网络设备进行通信,所述网络设备可经由主机接口702来访问。在一个实施例中,除主机接口702之外或作为对主机接口702的替代,GPU链路710可以被配置成使能至主机处理器的连接。
虽然GPGPU 700的所图示配置可以被配置成训练神经网络,但是一个实施例提供了GPGPU 700的替代配置,其可以被配置成用于部署在高性能或低功率推断平台内。在推断配置中,GPGPU 700包括相对于训练配置更少的计算集群706A-706H。另外,与存储器714A-714B相关联的存储器技术可能在推断配置与训练配置之间不同。在一个实施例中,GPGPU700的推断配置可以支持推断特定的指令。例如,推断配置可以提供对一个或多个8位整数点积指令的支持,所述指令通常用在针对已部署的神经网络的推断操作期间。
图8图示了根据实施例的多GPU计算系统800。多GPU计算系统800可以包括处理器802,所述处理器802经由主机接口开关804耦合至多个GPGPU 806A-806D。在一个实施例中,主机接口开关804是将处理器802耦合至PCI express总线的PCI express开关设备,处理器802可以通过所述PCI express总线与该组GPGPU 806A-806D通信。所述多个GPGPU 806A-806D中的每个可以是图7的GPGPU 700的实例。GPGPU806A-806D可以经由一组高速点对点GPU至GPU链路816互连。高速GPU至GPU链路可以经由专用GPU链路(诸如如图7中的GPU链路710)连接至GPGPU 806A-806D中的每个。P2P GPU链路816使能GPGPU 806A-806D中的每个之间的直接通信,而不要求通过处理器802连接至的主机接口总线的通信。在GPU至GPU业务涉及P2PGPU链路的情况下,主机接口总线仍然可用于系统存储器访问或例如经由一个或多个网络设备与多GPU计算系统800的其他实例通信。虽然在所图示的实施例中GPGPU806A-806D经由主机接口开关804连接至处理器802,但是在一个实施例中处理器802包括对P2P GPU链路816的直接支持并且可以直接连接至GPGPU 806A-806D。
机器学习神经网络实现
由本文中所描述的实施例提供的计算架构可以被配置成执行特别适合于训练和部署用于机器学习的神经网络的类型的并行处理。可以将神经网络概括为具有图表关系的功能的网络。如本领域中公知的,存在机器学习中所使用的多种类型的神经网络实现。一个示范类型的神经网络是如先前描述的前馈网络。
第二个示范类型的神经网络是卷积神经网络(CNN)。CNN是用于处理具有已知的网格状拓扑的数据(诸如图像数据)的专门前馈神经网络。因此,CNN通常用于计算视觉和图像识别应用,但它们也可用于其他类型的模式识别,诸如语音和语言处理。CNN输入层中的节点被组织成一组“滤波器”(由视网膜中发现的感受域激发的特征检测器),并且每一组滤波器的输出被传播至网络的连续层中的节点。用于CNN的计算包括将卷积数学操作应用于每个滤波器以产生该滤波器的输出。卷积是由两个函数执行以产生第三个函数的专门种类的数学操作,所述第三个函数是两个原始函数中的一个的修改版本。在卷积网络术语中,卷积的第一个函数可以被称为输入,而第二个函数可以被称为卷积核。输出可被称为特征映射。例如,至卷积层的输入可以是多维数据阵列,其定义输入图像的各种颜色分量。卷积核可以是多维参数阵列,其中通过针对神经网络的训练过程来适配所述参数。
递归神经网络(RNN)是一类包括层之间的反馈连接的前馈神经网络。RNN使能通过跨神经网络的不同部分共享参数数据对序列数据进行建模。RNN的架构包括循环。循环表示变量的目前值在未来的时间对它自己的值的影响,因为来自RNN的输出数据的至少一部分被用作用于处理序列中的后续输入的反馈。由于语言数据可以包括的可变本质,该特征使RNN对语言处理特别有用。
以下描述的图呈现了示范前馈、CNN和RNN网络,并且描述了用于分别训练和部署那些类型的网络中的每个的一般过程。将理解,这些描述就本文中所描述的任何特定实施例而论是示范且非限制性的,并且一般而言可以将所图示的概念一般地应用于深度神经网络和机器学习技术。
以上描述的示范神经网络可以用于执行深度学习。深度学习是使用深度神经网络进行的机器学习。与仅包括单个隐藏层的浅层神经网络相反,深度学习中使用的深度神经网络是由多个隐藏层组成的人工神经网络。训练更深的神经网络一般是更加计算密集的。然而,网络的附加隐藏层使能多步模式识别,所述多步模式识别相对于浅层机器学习技术导致减少的输出误差。
深度学习中使用的深度神经网络通常包括前端网络以执行耦合至表示数学模型的后端网络的特征识别,所述数学模型可以基于提供给该模型的特征表示来执行操作(例如,对象分类、语音识别等)。深度学习使得能够执行机器学习,而不要求针对模型执行手工制作的特征工程。相反,深度神经网络可以基于输入数据内的统计结构或相关性来学习特征。所学习的特征可以被提供给数学模型,所述数学模型可以将所检测的特征映射成输出。网络使用的数学模型一般专门用于要执行的特定任务,并且不同的模型将用于执行不同的任务。
一旦将神经网络结构化,就可以将学习模型应用于网络以将网络训练成执行特定任务。学习模型描述如何调整模型内的权重以减少网络的输出误差。误差的反向传播是一个用于训练神经网络的常用方法。向网络呈现输入向量以用于进行处理。使用损失函数将网络的输出与期望的输出相比较,并且针对输出层中的每个神经元计算误差值。然后,向后传播误差值,直到每个神经元具有粗略地表示其对原始输出的贡献的关联误差值。网络然后可以使用诸如诸如随机梯度下降算法之类的算法从那些误差中学习,以更新神经网络的权重。
图9A-9B图示了示范卷积神经网络。图9A图示CNN内的各种层。如图9A中所示,用于对图像处理进行建模的示范CNN可以接收输入902,所述输入902描述输入图像的红、绿和蓝(RGB)分量。输入902可以由多个卷积层(例如,卷积层904、卷积层906)处理。来自所述多个卷积层的输出可以可选地由一组全连接层908处理。全连接层中的神经元具有至前一层中的所有激活函数的全连接,如先前针对前馈网络所描述的。来自全连接层908的输出可以用于从网络中生成输出结果。可以使用矩阵乘法而非卷积来计算全连接层908内的激活。并非所有的CNN实现都使用全连接层908。例如,在一些实现中,卷积层906可以生成CNN的输出。
卷积层被稀疏地连接,这不同于全连接层908中发现的传统神经网络配置。传统神经网络层被全连接,使得每个输出单元与每个输入单元交互。然而,卷积层被稀疏地连接,因为域的卷积的输出(而非域中的每个节点的相应状态值)被输入至后续层的节点,如所图示的。与卷积层相关联的核执行卷积操作,所述卷积操作的输出被发送至下一层。在卷积层内执行的降维是使得CNN能够进行缩放以处理大图像的一个方面。
图9B图示在CNN的卷积层内的示范计算阶段。可以在卷积层914的三个阶段中处理至CNN的卷积层的输入912。这三个阶段可以包括卷积阶段916、检测器阶段918和池化阶段920。卷积层914然后可以将数据输出至连续的卷积层。网络的最后的卷积层可以生成输出特征映射数据或提供至全连接层的输入,例如以生成用于至CNN的输入的分类值。
在卷积阶段916中并行执行若干个卷积,以产生一组线性激活。卷积阶段916可以包括仿射变换,所述仿射变换是可以被指定为线性变换加平移的任何变换。仿射变换包括旋转、平移、缩放和这些变换的组合。卷积阶段计算连接至输入中的特定区域的函数(例如,神经元)的输出,所述特定区域可以被确定为与神经元相关联的局部区域。神经元计算神经元的权重与神经元连接至的局部输入中的区域之间的点积。来自卷积阶段916的输出定义由卷积层914的连续阶段处理的一组线性激活。
线性激活可以由检测器阶段918处理。在检测器阶段918中,每个线性激活由非线性激活函数处理。非线性激活函数增加整体网络的非线性性质,而不影响卷积层的感受域。可使用若干类型的非线性激活函数。一个特定类型是修正线性单元(ReLU),其使用被定义为f(x)=max(0,x)的激活函数,使得激活被在零处阈值化。
池化阶段920使用池化函数,所述池化函数用附近的输出的概括统计来代替卷积层906的输出。池化函数可以用于将平移不变性引入到神经网络中,使得对输入的小平移不改变池化输出。局部平移的不变性在其中输入数据中的特征的存在比该特征的精确位置更加重要的场景中可以是有用的。可以在池化阶段920期间使用各种类型的池化函数,包括最大池化、平均池化和l2-范数池化。另外,一些CNN实现不包括池化阶段。相反,此类实现代替并且附加的卷积阶段相对于先前的卷积阶段具有增加的步幅。
来自卷积层914的输出然后可以由下一层922处理。下一层922可以是附加的卷积层或是全连接层908中的一个。例如,图9A的第一卷积层904可以输出至第二卷积层906,而第二卷积层可以输出至全连接层908中的第一层。
图10图示了示范递归神经网络1000。在递归神经网络(RNN)中,网络的先前状态影响网络的当前状态的输出。可以使用多种函数以多种方式来建立RNN。RNN的使用一般围绕着使用数学模型以基于先前的输入序列来预测未来。例如,RNN可用于执行统计语言建模以在给定先前的字序列的情况下预测即将到来的字。可以将所图示的RNN 1000描述为具有接收输入向量的输入层1002、用于实现递归函数的隐藏层1004、用于使能先前状态的‘存储器’的反馈机制1005,以及用于输出结果的输出层1006。RNN 1000基于时间步长进行操作。经由反馈机制1005基于先前的时间步长来影响RNN在给定的时间步长处的状态。针对给定的时间步长,由先前状态和在当前时间步长处的输入来定义隐藏层1004的状态。在第一时间步长处的初始输入(x1)可以由隐藏层1004处理。第二输入(x2)可以由隐藏层1004使用在处理初始输入(x1)期间所确定的状态信息来处理。可以将给定的状态计算为st=f(Uxt+Wst-1),其中U和W是参数矩阵。函数f一般为非线性,诸如双曲正切函数(Tanh)或修正函数f(x)=max(0,x)的变体。然而,隐藏层1004中使用的特定数学函数可以根据RNN 1000的特定实现细节而变化。
除所描述的基本CNN和RNN网络之外,还可使能那些网络的变化。一个示例RNN变体是长短期记忆(LSTM)RNN。LSTM RNN能够学习对于处理较长的语言序列来说可能必要的长期依赖。CNN的变体是卷积深度信念网络,其具有类似于CNN的结构并且以类似于深度信念网络的方式受训练。深度信念网络(DBN)是由随机(随机的)变量的多个层组成的生成式神经网络。可以使用贪婪的无监督学习来逐层训练DBN。DBN的学习到的权重然后可以用于通过为神经网络确定一组最佳初始权重来提供预训练神经网络。
图11图示了深度神经网络的训练和部署。一旦已针对任务将给定的网络结构化,就使用训练数据集1102来训练神经网络。已开发出各种训练块架1104以使能训练过程的硬件加速。例如,图6的机器学习块架604可被配置为训练块架604。训练块架604可以与未经训练的神经网络1106挂钩,并且使得能够使用本文中所描述的并行处理资源来训练未经训练的神经网以生成经训练的神经网1108。
为了开始训练过程,可随机地或通过使用深度信念网络进行预训练来选取初始权重。然后以监督或无监督的方式来执行训练循环。
监督学习是一种学习方法,其中诸如当训练数据集1102包括与输入的期望输出成对的该输入时,或者在训练数据集包括具有已知的输出的输入并且神经网络的输出被手动地分级的情况下,将训练作为调停操作来执行。网络处理输入并且将所产生的输出与一组预期或期望的输出相比较。然后通过系统来反向传播误差。训练块架1104可以进行调整,以调整控制未经训练的神经网络1106的权重。训练块架1104可以提供工具来监测未经训练的神经网络1106多么好地朝着适合于基于已知的输入数据生成正确的答案的模型收敛。当调整网络的权重以改善由神经网络生成的输出时,训练过程反复地发生。训练过程可以继续,直到神经网络达到与经训练的神经网1108相关联的统计上期望的准确度。然后可以部署经训练的神经网络1108以实现任何数量的机器学习操作。
无监督学习是一种学习方法,其中网络试图使用未标记的数据来训练其本身。因此,针对无监督学习,训练数据集1102将包括输入数据而不具有任何关联的输出数据。未经训练的神经网络1106可以学习未标记的输入内的分组,并且可以确定个体输入如何与整体数据集相关。无监督训练可以用于生成自组织映射,所述自组织映射是一个类型的经训练的神经网络1107,其能够执行在降低数据维度中有用的操作。无监督训练还可以用于执行异常检测,所述异常检测允许标识输入数据集中偏离正常数据模式的数据点。
还可采用监督和无监督训练的变化。半监督学习是其中训练数据集1102包括相同分布的经标记数据和未标记数据的混合的技术。增量学习是监督学习的变体,其中连续地使用输入数据以进一步训练模型。增量学习使得经训练的神经网络1108能够适应于新数据1112,而不忘记在初始训练期间灌输在网络内的知识。
不管是监督的还是无监督的,用于特别深的神经网络的训练过程对于单个计算节点而言可能是过于计算密集的。可以使用计算节点的分布式网络而非使用单个计算节点来加速训练过程。
图12是图示分布式学习的框图。分布式学习是使用多个分布式计算节点来执行神经网络的监督或无监督训练的训练模型。分布式计算节点可以每个包括一个或多个主机处理器以及通用处理节点中的一个或多个,诸如如图7中的高度并行的通用图形处理单元700。如所图示的,分布式学习可以执行模型并行性(parallelism)1202、数据并行性1204或模型和数据并行性1204的组合。
在模型并行性1202中,分布式系统中的不同计算节点可以针对单个网络的不同部分执行训练计算。例如,可以由分布式系统的不同处理节点来训练神经网络的每层。模型并行性的益处包括缩放到特别大的模型的能力。分裂与神经网络的不同层相关联的计算使得能够训练非常大的神经网络,其中所有层的权重将不被装配到单个计算节点的存储器中。在一些实例中,模型并行性在执行大型神经网络的无监督训练中可以是特别有用的。
在数据并行性1204中,分布式网络的不同节点具有模型的完整实例,并且每个节点接收数据的不同部分。然后组合来自不同节点的结果。虽然用于数据并行性的不同方法是有可能的,但是数据并行训练方法都要求组合结果并使模型参数在每个节点之间同步的技术。用于组合数据的示范方法包括参数求平均和基于更新的数据并行性。参数求平均关于训练数据的子集上来训练每个节点,并且将全局参数(例如,权重、偏置)设置成来自每个节点的参数的平均值。参数求平均使用维持参数数据的中心参数服务器。基于更新的数据并行性类似于参数求平均,除了传送对模型的更新而非将来自节点的参数传送到参数服务器。另外,可以以分散的方式执行基于更新的数据并行性,其中更新被压缩并且在节点之间传送。
例如,可以在其中每个计算节点包括多个GPU的分布式系统中实现经组合的模型和数据并行性1206。每个节点可以具有模型的完整实例,其中每个节点内的单独的GPU用于训练模型的不同部分。
分布式训练相对于单个机器上的训练具有增加的开销。然而,本文中所描述的并行处理器和GPGPU可以各自实现用于减少分布式训练的开销的各种技术,包括用于使能高带宽GPU至GPU数据传送和加速的远程数据同步的技术。
示范机器学习应用
可以应用机器学习来解决多种技术问题,包括但不限于计算机视觉、自主驾驶和导航、语音识别以及语言处理。计算机视觉传统上已是机器学习应用的最活跃研究领域中的一个。计算机视觉的应用范围为从重现人类视觉能力(诸如识别脸)到创建新类别的视觉能力。例如,计算机视觉应用可以被配置成从视频中可见的物体中感生的振动来识别声波。并行处理器加速的机器学习使得能够使用比先前可行的训练数据集显著更大的训练数据集来训练计算机视觉应用,并且使得能够使用低功率并行处理器来部署推断系统。
并行处理器加速的机器学习具有自主驾驶应用,包括车道和道路标志识别、障碍避开、导航和驾驶控制。加速的机器学习技术可以用于基于数据集来训练驱动模型,所述数据集定义对特定训练输入的适当响应。本文中所描述的并行处理器可以使得能够快速训练用于自主驾驶解决方案的日益复杂的神经网络,并且使得能够将低功率推断处理器部署在适合于集成到自主运载工具中的移动平台中。
并行处理器加速的深度神经网络已使能用于自动语音识别(ASR)的机器学习方法。ASR包括创建在给定输入声序列的情况下计算最可能的语言序列的函数。使用深度神经网络的加速的机器学习已使得能够代替先前用于ASR的隐马尔可夫模型(HMM)和高斯混合模型(GMM)。
并行处理器加速的机器学习还可以用于加速自然语言处理。自动学习程序可以使用统计推断算法以产生对于错误的或不熟悉的输入而言鲁棒的模型。示范自然语言处理器应用包括人类语言之间的自动机器翻译。
可以将用于机器学习的并行处理平台分成训练平台和部署平台。训练平台一般是高度并行的,并且包括优化以加速多GPU单节点训练和多节点多GPU训练。适合于训练的示范并行处理器包括图7的高度并行的通用图形处理单元700和图8的多GPU计算系统800。相反,部署的机器学习平台一般包括适合于在诸如相机、自主机器人和自主运载工具之类的产品中使用的低功率并行处理器。
图13图示了适合于使用经训练的模型来执行推断的示范推断片上系统(SOC)1300。SOC 1300可以对处理部件进行集成,所述处理部件包括媒体处理器1302、视觉处理器1304、GPGPU 1306和多核处理器1308。SOC 1300可以附加地包括片上存储器1305,所述片上存储器1305可以使能可由处理部件中的每个访问的共享片上数据池。可以针对低功率操作来优化处理部件,以使得能够部署至多种机器学习平台,包括自主运载工具和自主机器人。例如,可以将SOC 1300的一个实现用作用于自主运载工具的主控制系统的一部分。在SOC1300被配置成供自主运载工具中使用的情况下,SOC被设计和配置成用于符合部署管辖权的相关功能安全标准。
在操作期间,媒体处理器1302和视觉处理器1304可以一致地工作以加速计算机视觉操作。媒体处理器1302可以使能对多个高分辨率(例如,4K、8K)视频流的低等待时间解码。可以将已解码的视频流写入到片上存储器1305中的缓冲器。视觉处理器1304然后可以在使用经训练的图像识别模型来处理已解码的视频的帧的准备中解析已解码的视频并且对已解码的视频的帧执行初步处理操作。例如,视觉处理器1304可以加速用来对高分辨率视频数据执行图像识别的用于CNN的卷积操作,而后端模型计算由GPGPU 1306执行。
多核处理器1308可以包括控制逻辑,以帮助由媒体处理器1302和视觉处理器1304执行的共享存储器操作以及数据传送的定序和同步。多核处理器1308还可以充当应用处理器,以执行可以使用GPGPU 1306的推断计算能力的软件应用。例如,可以以在多核处理器1308上执行的软件中实现导航和驾驶逻辑的至少一部分。此类软件可以直接向GPGPU 1306发布计算工作负荷,或可以将计算工作负荷发布给多核处理器1308,所述多核处理器1308可以将那些操作的至少一部分卸载到GPGPU 1306。
GPGPU 1306可以包括计算集群,诸如高度并行的通用图形处理单元700内的计算集群706A-706H的低功率配置。GPGPU 1306内的计算集群可以支持被特别优化以对经训练的神经网络执行推断计算的指令。例如,GPGPU 1306可以支持用于执行低精度计算(诸如8位和4位整数向量操作)的指令。
用于高效机器学习操作的专用硬件
本文描述的实施例提供可以用于抽象化执行机器学习计算的许多底层计算细节的高级机器学习计算原语。本文描述的高级原语使软件逻辑能够请求高级机器学习操作,同时抽象化那些操作的底层实现细节。例如并且在一个实施例中,软件逻辑可以使用给定的滤波器集合请求图像的卷积操作。可以执行单个高级指令,所述指令具有操作数来定义存储滤波器和/或内核数据的缓冲器的地址和输入和输出缓冲器地址。GPGPU然后可以将高级卷积指令分成由GPGPU的底层计算单元执行的多个子操作。在一个实施例中,提供了对基本线性算法子程序(BLAS)的一个或多个子例程的直接硬件支持,尽管实施例可以为其它子例程库提供硬件支持。编译器逻辑和关联的运行时间库可以编译利用支持的高级计算子例程的源代码,并输出编译的源代码(其调用到机器学习宏指令单元中)。
用来执行用于机器学习的计算操作的指令和逻辑
用于计算机视觉和机器学习的硬件加速器可以将诸如对象、面部和语音识别的应用的能量效率改进数量级的幅度。这些加速器使用互连的处理元件(PE)阵列,其中乘-加电路是用于映射被用于CNN计算操作的关键算法的占主导地位的性能、面积和能量。例如,一些机器学习硬件加速器使用窄位宽(16b)固定点乘-加数据路径构建块来满足在低功率或嵌入式空间中SoC的严格存储器、面积和功率预算。可以对于具有由浮点数/计算所提供的较高动态范围的某些数据集和算法实现更好的结果质量,同时仍保持相同的存储器占用空间(footprint)(16b操作数)。用来适应两种类型数值计算的先前硬件解决方案采用单独的固定点和浮点数据路径或PE,引起实现这种灵活性的高面积成本。相反,本文描述的实施例提供利用现有的有符号整数乘-加电路来实现浮点尾数乘-加操作的合并的整数/浮点融合乘-加和乘-累加数据路径。在一个实施例中,通过仅添加对于对齐/归一化移位和指数单元所要求的电路,在组合浮点/整数单元中使能浮点支持而不增加输入/输出数据宽度和数据存储器占用空间。利用单个控制信号来在每周期基础上在浮点和整数计算模式之间进行切换。
由实施例提供的组合的整数/浮点单元被补充可以集成到GPGPU中的多种类型的机器学习加速单元。本文描述的实施例提供了用来使能将融合-乘-加操作与神经网络激活函数(例如修正线性单元函数(RELU)、S形函数或硬S形函数)组合的附加指令的逻辑。
一个实施例使16位浮点编码的扩展能够支持来自标准IEEE 754半精度浮点格式的备选编码。IEEE半精度浮点格式指定1位符号、5位指数和10位小数部分。本文描述的实施例可以基于要编码的数据的模式来选择性地支持FP16数据的备选编码。在一个实施例中,支持的备选格式指定1位符号,具有8位指数和7位小数分量。一个实施例允许用1位符号、3位指数和12位小数分量进行编码。在此类实施例中,不同指令集合支持不同的浮点编码,允许开发者基于程序代码中指定的指令来选择编码。在一个实施例中,当对浮点数据进行舍入或下采样时,可以使用不同浮点编码,例如从累加的32位浮点值到16位值。
本文描述的合并的浮点单元可以在每周期基础上选择性地执行16位整数或浮点操作。一个实施例使能动态重新配置本文描述的浮点单元以使能多格式支持。例如,使用多通道配置,16位整数或浮点单元可以配置成执行两通道32位操作或四通道64位操作。此类逻辑使能对要被集群以用于较高精度训练操作的低精度推理操作优化的浮点逻辑。
一个实施例提供用于低精度网络的随机舍入单元和统计累加器。随机舍入使能对低精度深度神经网络的经典量化和舍入的增加的准确度。舍入单元可以以不同的模式工作。第一模式是使用随机数生成器来控制舍入单元的随机模式。第二模式使用随后输入上的输出的概率分布,并利用耦合到GPGPU存储器的近数据统计估计器单元。
本文描述的技术可以在具有经由机器学习加速器单元提供的机器学习优化的通用计算系统内实现。由本文描述的实施例提供的多处理器在图14中示出。
图14是根据实施例的多处理器单元1400的框图。多处理器单元1400可以是图2D的图形多处理器234的变形。多处理器单元1400包括获取和解码单元1402、分支单元1404、寄存器堆1406、线程管理器1406、单指令多线程单元(SIMT单元1410)以及电压和频率管理器1420。获取和解码单元1402可以获取用于由多处理器单元1400执行的指令。分支单元1404可以基于执行的跳指令来计算指令指针调整。寄存器堆1406可以存储由SIMT单元1410使用的通用和架构寄存器。线程管理器1406可以在SIMT单元1410的计算单元之间分发和重新分发线程。在一个实施例中,SIMT单元1410配置成执行单个指令作为多个线程,其中指令的每个线程由单独计算单元执行。在一个实施例中,计算单元1411至计算单元1418每个包括整数ALU(例如,ALU 1411A-1418A)和浮点单元(例如FPU 1411B-1418B)。SIMT单元1410内的每个计算单元1411-1418的电压和频率可以由电压和频率管理器1420动态地管理,当计算单元的部件被启用和禁用时,该电压和频率管理器1420可以增加或减少供应给各种计算单元的电压和时钟频率。
在一些之前使能配置中,每个计算单元可以执行整数指令或浮点指令的单个线程。如果ALU 1411A-1418A中的任何一个被分配任务以执行整数指令的线程,则相应的FPU1411B-FPU1418B不可用于执行浮点指令的线程,并且可在对应的ALU1411A-ALU 1418A的操作期间被功率门控。例如,当ALU 1411A可以执行整数指令的线程,而FPU 1413B执行浮点指令的线程时,FPU 1411B被功率门控,同时ALU 1411A是活动的。本文描述的实施例通过例如使ALU 1411A能够执行指令的线程,同时FPU1411B执行不同指令的线程来克服此类限制。此外,一个实施例提供对混合精度或混合数据类型操作数的支持,使得单个计算单元可以对具有浮点和整数操作数的指令和/或具有不同精度的操作数同时执行操作。
本文描述的实施例通过使每个计算单元内的所有逻辑单元可用于执行计算而使能用于计算单元集群的增加的操作吞吐量。在此类实施例中,计算单元内的、被设计成以多个精度或多个数据类型中的一个选择性地执行计算的逻辑单元可以配置成对于由计算单元支持的每个精度或数据类型执行多个同时操作。对于给定的计算单元1411-1418、ALU1411A-1418A可以执行整数操作,而FPU 1411B-1418B执行浮点操作。这些操作可以对于单个指令或对于多个指令执行。在一个实施例中,使能混合精度指令的新类,其中一个或多个操作数具有一种数据类型或精度,而一个或多个不同操作数具有不同的数据类型或精度。例如,指令可以接受包括浮点和整数数据类型的两个或更多个多元素操作数,并且单个指令在每数据类型或每精度基础上执行。
可重新配置的16位浮点/整数融合乘-加单元
由本文描述的实施例提供的逻辑单元设计具有单周期和多周期等待时间,同时对于融合的乘-加(例如,跨周期不具相依性的3操作数输入)和乘-累加(例如,跨周期具数据相依性的2操作数输入)两者的单周期吞吐量。相反,本领域中已知的逻辑单元设计实现融合乘-加,而不考虑多周期等待时间和单周期吞吐量乘-累加操作,这可能是关键机器学习操作(例如点积操作)的执行的限制因子。
本文描述的一个实施例提供了合并的整数/浮点融合乘-加数据路径,其利用现有的有符号整数乘-加电路来还实现浮点尾数乘-加操作。在仅添加对对齐/归一化移位和指数单元所要求的电路的情况下,使能浮点支持。输入/输出数据宽度和数据存储器占用空间保持相同,其中仅单个控制信号被要求来在每周期基础上在两种计算模式之间切换。
一个实施例提供了合并的16位整数/浮点融合乘-加设计,其对具有单独整数/浮点数据路径的常规单周期设计进行改进。本文描述的设计实现了合并的int16/float16数据路径的乘-加电路,其将总面积减少多达29%。一个实施例提供了改进的浮点数据路径,其具有仅对于加数的对齐,连同对总面积减少贡献11%的组合的求反(negation)和舍入增量器。一个实施例提供具有两个输入和双周期等待时间、单周期吞吐量的乘-累加变形。一个实施例提供了备选电路,其通过在增加的面积中以仅11%的成本使累加器宽度加倍来显著增加累加准确度。
图15A-15B示出了根据实施例的用来执行整数和浮点融合乘-加操作的逻辑单元的设计。图15A示出了逻辑单元1500的常规设计,其使能融合乘-加操作,同时保持完整的中间乘积准确度和范围。在IEEE半精度浮点(float16)或有符号16b整数(int16)模式中,对三个16位输入操作数1501执行融合乘-加操作(o=a*b+c)。将输入提供给16位浮点数据路径1510或16位整数数据路径1520,其中输出端口(o 1530)基于操作模式1532选择适当的结果(f16 1518或i16o 1528)。int 16结果(i16o 1528)选择并舍入到由有符号16bx16b乘法器1521和32b加法器1522生成的32b有符号整数结果(isum 1525)的最近高半部分。float16数据路径1510右移位(1511)无符号11bx11b乘法器1617的乘积的较小者的尾数并在经由22位尾数加法器1513处理乘积前右移位加数以用于在对齐移位器1512A处对齐。22位前导零预感器(LZA 1519)基于到加法器的输入预测由22位尾数加法器1513执行的浮点加法结果的最高有效位位置的位置。在将中间结果提供给舍入逻辑1516之前,由归一化移位器1515执行左移位(1514)。
图15B是根据实施例的乘-加逻辑单元1540的框图。图15B的逻辑单元1540保持单独的16位浮点/整数电路,同时对逻辑单元1500的浮点数据路径改进。在一个实施例中,逻辑单元1540的设计通过仅对加数执行对齐(与乘法操作并行(1541))而从关键路径去除对齐移位器1512B。较宽的33位和只要求对于高位的11位增量器。此外,对于减法操作,加法器的输出可以被求反以产生无符号尾数。在一个实施例中,通过组合递增操作与最后舍入增量器(1542),将增量器从逻辑单元1540的数据路径的关键路径去除。相反,图15A的逻辑单元1500要求增量器在加法器之后完成任何要求的二进制补码求反操作。利用逻辑单元1540的16位浮点数据路径的关键路径的减少引起较小的门,并允许与逻辑单元1500相关的11%的面积减少,同时保持相同的单周期等待时间。
图16示出了根据实施例的具有合并的浮点和整数数据路径的融合乘-加逻辑单元1600。整数数据路径的16位×16位有符号乘法器1602A和32位加法器1604被重新用于浮点尾数操作,其中门控高操作数位以产生11位尾数(1602B)的结果。当使能浮点模式时,输入开关1601A-1601C用于将输入操作数(a、b、c)的高6位重引导到指数单元1608。来自输入的符号和指数值被打包并经由固定的3位符号操作数总线1609A和15位指数总线1609B提供给指数单元1608。对于16位浮点操作,共享的32位加法器使用1位增量器1605来创建33位和的(多个)高位1606。指数单元1608内以及对齐移位器1612和归一化移位器1613中的旁路电路(1610A、1610B)确保固定对齐/归一化,其具有在用于整数模式的那些单元中的最小切换活动,而零高尾数位确保在浮点模式中的乘法器的未使用部分内无切换活动。舍入逻辑1616和浮点数据路径的增量器被重新用于整数模式,以通过舍入计算整数结果i16o的较低10位。i16o的高6位通过将该操作映射到现有的指数增量器1611来计算,该增量器1611还在浮点模式中执行来自尾数数据路径的任何舍入溢出操作。当处理完成时,可以经由输出1630提供16位浮点或整数值。
图17A示出了根据实施例的包括合并计算电路以执行浮点和整数融合-乘-累加操作的逻辑单元1700。逻辑单元1700包括指数单元1708和尾数单元1709、两个16位输入端口1701和16位输出端口1730。输入端口1701包括用来将输入数据的符号位和指数位切换到指数单元1708的开关。当执行整数操作时使用指数单元1708和尾数单元1709。在一个实施例中,逻辑单元支持用于16位固定点模式的8.8输入和16.0输出格式。逻辑单元1700支持双周期等待时间和单周期吞吐量要求。一些所示电路在操作模式之间共享,包括用于整数和浮点模式两者的有符号乘法器1702A-1702B和32位加法器1704。在第二周期中的累加期间,16位累加器输入1703A被断言,其中累加器的值被提供给32位加法器1704。累加器输入1703A的高10位(例如,c[15:6])专属于16位整数操作。对于两种计算模式,在第一周期中执行乘法,以及在第二周期中执行加法/舍入。
图17A的逻辑单元1700使用三种关键技术来使能高效的合并设计。首先,用于累加操作的图16的单周期合并设计的直接流水线化将会在第一周期中通过加数对齐将吞吐量减少一半,或者在第二周期的关键路径中通过右移位计算和33b对齐增加周期时间。相反,逻辑单元1700的设计利用指数单元1708的定时/区域非关键性来预计算对齐移位器1713的较大(或较小)尾数和右移量。在一个实施例中,逻辑单元1700执行双周期操作,同时通过将输出反馈回第二周期作为加数输入来保持单周期吞吐量,挑选仅用于22位对齐的较小尾数并且使用之前由第二阶段计算的乘法器输出和累加器指数在第一周期中预计算较小尾数/右移位量。
第二,16位整数模式中的舍入到最近的操作利用8.8固定点格式,并且消除了将整数舍入映射到浮点舍入增量器的需要。在加法器之前,通过复用器逻辑1705在位位置15插入1来代替0以实现相同的舍入操作。
第三,触发器被重新用于两种模式之间的互斥信号,例如指数计算(例如,Eun1707、右移位1710)和乘积(1711)的高10b。第二周期中的定时路径减少还通过组合求反/舍入增量器并且通过使用基于远/近路径的优化来减少通过对齐移位器1713和归一化移位器1714的关键路径来实现。
如图17B中所示的,通过仅将累加器的宽度加倍到32位,双周期乘-累加设计的准确度显著增加。累加器可以基于具有5位指数和22位尾数(隐式前导1未存储)的中间结果,以16.16固定点格式和16位浮点结果累加16位整数结果。在各种实施例中,中间结果的22位尾数可以被舍入、截断或量化成IEEE标准尾数。逻辑单元1740的设计主要将加倍累加器的成本限制成尾数数据路径中的输出触发器和最后增量器,因为在乘法器之后的剩余数据路径已经适应用于乘积的附加宽度。在一个实施例中,较高准确度使能将舍入简化为简单的截断以从32位累加器生成16位输出1750。后指数归一化增量器从逻辑单元1740中的指数单元1708去除。相反,当加法器的输出要被求反时,求反增量器1742在尾数中执行最后增量以计算二进制补码。在第二周期中的累加期间,32位累加器输入1703B被断言,其中累加器的值提供给32位加法器1704。累加器输入1703B的高10位(例如,c[31:22])专属于16位整数操作。此设计的合成总面积相对于图17A的逻辑单元1700的设计仅呈现11%面积增加,同时加倍累加器精度。
尽管上面描述对于16位操作数而提供,但是这些技术可以容易地扩展到更大的数据宽度以实现类似的目标。此外,虽然描述了IEEE半精度输出,但是本文描述的设计也可以被调整以支持非标准浮点格式。此外,不同的非标准浮点格式可以用于中间值,如下面所描述的。
上面描述的实施例提供了可重新配置的16位浮点/整数融合乘-加单元的各种实现,其提供了相对于现有设计的多个优点。所提出的设计不影响浮点或整数存储的存储器占用空间。所提出的设计仅增加乘法器面积而不改变浮点数据路径的剩余部分。相反,本领域已知的逻辑设计将整个浮点有效位数/尾数扩展到与整数相同的宽度,而用于符号和指数的附加存储区域是单独的并仅专属于浮点数,引起浮点数存储的占用空间和寄存器堆大小的增加。现有的设计也增加了整个尾数数据路径的宽度,这可以引起显著的面积增加。提供单周期(例如,图16的逻辑单元1600)和多周期(例如,图17A的逻辑单元1700和图17B的逻辑单元1740)设计,其中多周期在初始等待时间后,每个周期生成输出。图17B的逻辑单元1740提供了合并的浮点/整数乘-累加设计,其具有是输入操作数两倍宽的本地累加器宽度。这使能像点积的操作的高得多的累加准确度,而不会冲击输入操作数的存储器存储占用空间,并且影响设计的一小部分(对于仅11%总面积冲击)。此外,每个逻辑单元将整数操作的一部分映射到现有的指数数据路径上,以在对于整数模式重新配置时最大化电路重新使用。此外,对于具有减法操作的浮点操作,图15B的逻辑单元1540和图17A的1700为了减少的延迟和面积将二进制补码增量组合到舍入增量中。
机器学习数据处理系统和加速逻辑
一个实施例使用图14的多处理器单元1400以及图15A-17B的一个或多个浮点/整数逻辑单元可以用作机器学习数据处理系统的构建块,其包括被优化以执行在使用深度神经网络执行训练或推理时通常执行的计算操作类型的硬件、软件和固件。图18A-18B示出了用来例如经由使用深度神经网络来执行用于机器学习的加速训练和推理操作的数据处理系统和关联的计算和逻辑单元。图18A示出了由本文描述的实施例提供的示范机器学习数据处理系统。图18B示出了根据一个实施例的机器学习加速器的部件。
图18A的数据处理系统1800是具有包括机器学习加速逻辑的GPGPU 1820、处理器1802和统一存储器1810的异构处理系统。处理器1802和GPGPU 1820可以是如本文所描述的任何处理器和GPGPU/并行处理器。处理器1802可以执行存储在系统存储器1812中的用于编译器1815的指令。编译器1815在处理器1802上执行以将源代码1814A编译成编译代码1814B。编译代码1814B可以包括可以由处理器1802执行的代码和/或可以由GPGPU 1820执行的代码。在编译期间,编译器1815可以执行操作以插入元数据,包括关于在编译代码1814B中存在的数据并行性级别的提示和/或关于与要基于编译代码1814B分派的线程关联的数据本地性的提示。编译器1815可以包括执行此类操作或者可以在运行时间库1816的帮助下执行的操作所需的信息。运行时间库1816还可以促进编译器1815编译源代码1814A,并且还可以包括在运行时间与编译代码1814B链接以促进在GPGPU 1820上执行编译的指令的指令。
统一存储器1810表示可以由处理器1802和GPGPU 1820访问的统一地址空间。统一存储器包括系统存储器1812以及GPGPU存储器1818。GPGPU存储器1818包括GPGPU 1820内的GPGPU本地存储器1834A-1834B并且还可以包括系统存储器1812中的一些或全部。例如,存储在系统存储器1812中的编译代码1814B也可以被映射到GPGPU存储器1818中以用于由GPGPU 1820访问。
GPGPU 1820包括多个计算块1824A-1824N,其可以是图2A的处理群集214A-214N的实例并且可以包括本文描述的图形多处理器234的一个或多个实例。在各种实施例中,计算块1824A-1824N包括具有图15B-17B的逻辑单元中的一个或多个的计算单元。GPGPU 1820还包括可以用作计算块1824A-1824N的共享资源的功率和性能模块1826、高速缓冲存储器1827以及寄存器1825的集合。在一个实施例中,寄存器1825包括直接和间接可访问寄存器,其中间接可访问寄存器可以被优化以用于矩阵计算操作。功率和性能模块1826可以配置成调整计算块1824A-1824N的功率递送和时钟频率以在重的工作负荷下功率门控计算块1824A-1824N内的空闲部件。GPGPU1820包括GPGPU本地存储器1828,其是与GPGPU 1820共享图形卡或多芯片模块的物理存储器模块。
在一个实施例中,GPGPU 1820包括硬件逻辑,所述硬件逻辑包括获取和解码单元1821、调度器控制器1822和机器学习加速器1823。指令获取和解码单元1821是获取和解码单元,其包括用来获取和解码可以定义复杂的、可定制的行为的指令(包括机器学习特定指令)的逻辑。所述指令可以使计算逻辑经由调度器控制器1822调度要经由计算块1824A-1824N的一个或多个执行的操作集合。在一个实施例中,调度器控制器1822是可配置成执行高级调度操作的ASIC。在一个实施例中,调度器控制器1822是能够执行从固件模块加载的指令的微控制器或低每指令能量的处理核。
在一个实施例中,要由计算块1824A-1824N执行的一些功能可以被直接调度到机器学习加速器1823或者减荷到机器学习加速器1823。机器学习加速器1823包括处理元件逻辑,其配置成对在机器学习期间通常执行的有效地执行矩阵和其它计算操作。
在一些实施例中,GPGPU 1820附加地包括可配置为近数据计算单元的统计单元1829。例如,统计单元1829可以集成到GPGPU本地存储器1828的一个或多个存储器控制器中或者跨所述一个或多个存储器控制器传播。在一个实施例中,统计单元1829在由机器学习加速器1823使能时可用于确定当执行写到GPGPU本地存储器1828或从GPGPU本地存储器1828读取的机器学习操作时,权重或激活映射数据的概率分布。统计单元1829包括用来基于存储器访问期间的地址和数据模式来确定在GPGPU本地存储器1828中访问的数据是否在一个或多个统计分布(例如,高斯、统一、泊松(Poisson)等)范围内。在一个实施例中,对于存储器访问的至少一个子集,可以在采样周期期间收集统计信息(例如,平均值、中值、模式、标准偏差等)。统计单元1829可以被配置使得收集统计信息不显著增加通过托管统计单元1829的存储器控制器执行的存储器访问的等待时间。统计信息可以周期性地提供给机器学习加速器1823或机器学习加速器1823可以从统计单元请求数据。在一个实施例中,统计单元1829可以针对已知可能分布集合来检查与存储器访问关联的数据。包括与每个已知可能分布关联的概率集合的向量可以在周期性基础上或在请求时提供给机器学习加速器1823。在各种实施例中,机器学习加速器1823可以使用由统计单元1829提供的概率和/或统计信息以用于各种操作。在一个实施例中,如图18B和图20中进一步所描述的,机器学习加速器1823可以使用由统计单元1829提供的数据来在低精度神经网络的量化期间执行随机舍入。
图18A的机器学习加速器1823在图18B中进一步详细示出。在一个实施例中,机器学习加速器1823包括在机器学习加速器1823内的各种模块之间共享的激活指令模块1832、FPU编码和配置模块1834、随机量化单元1838和高速缓冲存储器1836。
激活指令模块1832包括用来响应于单个指令而对组合的融合乘-加和激活的执行进行排序的逻辑。响应于GPGPU 1820上的FMAC或FMADD加激活函数的解码,调度器单元1822可以经由机器学习加速器1823调度操作。经由激活指令模块1832机器学习加速器1823可以对每线程或向量元素的两个或三个输入操作数执行融合乘-加或融合乘-累加操作集合,并且对于每个线程或元素,将输出提供给配置成执行多个可选激活函数中的一个的硬件逻辑。不同的激活函数可以与不同的指令关联,或者单个指令可以包括用来使能选择激活函数的字段。在一个实施例中,激活指令模块可以执行向量或缠绕操作以生成中间FMADD或FMAC结果并将中间结果存储在高速缓冲存储器1836中。激活指令模块1832然后可以将激活函数应用于中间数据。示范支持的激活函数包括等式(1)的修正线性单元(RELU)函数、等式(2)的S形函数或等式(3)的硬S形函数。
f(x)=max(0,x) (1)
Figure BDA0003949839070000401
Figure BDA0003949839070000402
FPU编码和配置模块1834包括用来定义用于GPGPU 1820的计算块1824A-1824N内的浮点单元的动态配置的参数的逻辑。在一个实施例中,图16和图17A-17B的合并的整数/浮点单元的某些动态方面可以经由FPU编码和配置模块1834来配置。例如,计算块1825A-1824N可以过度供给以包含在给定GPGPU 1820的功率预算的情况下比在任何一个时间可以最大地活动的更多的计算单元。然而,FPU编码和配置模块1834可以配置动态浮点单元以门控某些逻辑块来以减少的精度和减少的功耗来操作。每个单元的减少的精度和功率要求可以使更大数量的单元能够在线,允许要对较低精度的操作执行的较大数量的线程。例如并且在一个实施例中,可以配置成执行16位整数操作的逻辑单元可以配置成执行8位整数操作,减少功率要求。在一个实施例中,可以执行双8位整数操作,增加吞吐量而不显著增加功耗。在一个实施例中,多个半精度逻辑单元可以并行工作以执行单精度或双精度浮点操作。在一个实施例中,可以经由通过逻辑单元的多个通道来执行较高精度操作。
在一个实施例中,FPU编码和配置模块1834还可以配置由浮点单元支持的浮点编码方法。除了用于浮点值的半精度、单精度和双精度编码的IEEE 754浮点标准以外,还可以基于当前正处理的数据的动态范围支持大量备选编码格式。例如,基于给定数据集的动态范围和/或分布,通过对指数或尾数数据使用大于或较少位,可以从较高到较低的精度更准确地量化数据。在一个实施例中,支持的备选格式指定1位符号,具有8位指数和7位小数分量。一个实施例允许用1位符号、3位指数和12位小数分量进行编码。在此类实施例中,不同指令集合支持不同的浮点编码,允许开发者基于程序代码中指定的指令来选择编码。在一个实施例中,当对浮点数据进行舍入或下采样时,例如从累加的32位浮点值到16位值,可以使用不同浮点编码。在一个实施例中,可以利用统计单元1829来确定哪个16位编码最适合于给定的数据块。
在一个实施例中,机器学习加速器1823附加地包括随机量化单元1838以使能用于机器学习操作的随机量化。随机量化单元1838可以用于在量化操作期间使能随机舍入。一个实施例使用随机数生成器来使能随机舍入,其中可以使用小数值来确定舍入概率。一个实施例利用统计单元1829来确定与来自神经网络的给定层的输出数据集合关联的概率分布。对于每个层,可以确定数据值的概率密度,其中概率密度由统计特性确定,包括对于神经网络的每个层确定的数据的平均值、标准偏差和方差。使用此类数据,可以以不改变神经网络的每个层内的数据的概率分布的方式来执行随机舍入。
图19示出了根据实施例的激活指令模块1832的细节。激活指令模块1832包括用来响应于单个指令而对组合的融合乘-加和激活的执行进行排序的逻辑。响应于通过图18A的指令获取和解码单元1821的FMAC/FMADD+激活函数的解码,可以经由机器学习加速器1823将指令执行分派给激活指令模块1832。机器学习加速器1823在接收所述指令时可以使用融合乘-加/融合乘-累加线程调度器单元1902来调度用来计算计算块1824A-1824N内的单元的融合乘-加或融合乘-累加操作集合。在一个实施例中,从计算块1824A-1824N输出的中间数据可以存储在机器学习加速器1823内的高速缓冲存储器1836中。在一个实施例中,可以在激活指令模块1832内以流送方式处理中间数据的组块。在一个实施例中,中间数据可以表示激活函数的非线性性将被应用到的激活映射。可以由激活函数逻辑1904A-1904N应用激活函数的选择的一个。可以基于由激活指令模块1832处理的特定指令或以指令供应的参数来选择激活函数。特定的指令可以基于本文描述的指令格式的任何指令格式来格式化。
在各种点处的浮点操作包括舍入操作。舍入用在浮点计算中,因为浮点数具有有限数量的数字并且不能准确表示所有实数。因此,当数被分配任务以表示要求比所选浮点格式允许的更多数字的值时,剩余数字被省略,并且数被舍入到可以由浮点格式表示的最近的值。可能表示的特定数取决于所选的浮点格式。
可以执行用来在浮点计算期间舍入的各种方法。本文描述的实施例包括用来对于机器学习操作执行随机舍入的硬件逻辑。与其它舍入方法(舍入到最近的数字或严格上下)相反,随机方法随机地舍入数。本文描述的实施例使能用于深度神经网络的数据值的量化的随机舍入。提供使用多个舍入模式中的一个使能硬件随机舍入的舍入单元。一个实施例使用随机数生成器使能随机舍入。可以使用小数值来确定舍入概率。可以将随机数与舍入概率进行比较,以确定在量化期间舍入最近可表示值中的哪个。备选地,一个实施例利用统计累加器/估计器逻辑来确定与来自神经网络的给定层的输出数据集合关联的概率分布。对于每个层,可以确定数据值分布的概率密度,其中概率密度由对于神经网络的每个层确定的数据的平均值、标准偏差和方差来定义。使用此类数据,可以以不改变神经网络的每个层的概率分布的方式来执行随机舍入。
图20示出了根据实施例的随机量化单元1838。在一个实施例中,随机量化单元1838用于将在神经网络的层内生成的未加工输出数据量化成由神经网络的下一层使用的格式。例如,用于生成输出数据的计算操作可以以较高的精度处理,并且可以在作为输入提供给下一层之前将结果量化成较低的精度。在一个实施例中,来自给定层n的输出2002B例如以32位处理并且由量化单元2004量化成16位数据类型。量化操作可以利用随机舍入,其可以经由随机舍入单元2009来实现。量化和舍入的值然后可以提供给神经网络的下一层(层N+1)2010。
在各种实施例中,随机量化单元1838可以经由使用随机数生成器2006来执行随机舍入。在浮点算术中,舍入旨在将给定值x变成具有指定数量的有效数字的值z,其中,z是数m的倍数,其取决于x的幅值。数m是浮点表示的基数(通常为2或10)的幂。数z是接近值x的可表示值。值x是向上还是向下舍入以实现值z基于由随机数生成器2006选择的随机值。将所生成的随机值与有效表示之间的小数部分进行比较。小数部分可以用作向上或向下舍入到最近的可表示值的概率。量化期间的可表示值之间的间隙取决于适当位置的浮点表示的编码格式。作为示例,如果量化要舍入为成整数值且小数值为0.3,则向上舍入的概率可等于30%,而向下舍入的概率可等于70%。在此类情景中(其中随机数生成器2006是适当验证的真随机数生成器),随机舍入单元2009将与小数值成比例地向上或向下舍入。
备选地,随机舍入单元2009可以利用统计累加器/估计器2008,在一个实施例中,统计累加器/估计器2008是如图18A中的近数据统计单元1829。统计累加器/估计器2008可以分析来自之前层2002A-2002B的输出以确定与神经网络数据关联的分布。随机舍入单元2009然后可以在量化期间舍入数据,使得量化数据具有与预量化数据类似的分布。
图21示出了根据一个实施例的FPU编码和配置模块1834。在一个实施例中,GPU编码和配置模块1834包括FPU配置模块2102和FPU编码模块2104。FPU配置模块2102可以用于配置成执行16位整数逻辑单元以执行8位整数操作(包括双8位整数操作)。在一个实施例中,多个半精度逻辑单元可以并行工作以执行单精度或双精度浮点操作。FPU编码模块2104可以用于配置在数据计算期间在计算块1824A-1824N内使用的特定浮点编码格式。在一个实施例中,FPU编码模块2104可以响应于指定输入或输出数据要以非标准浮点格式存储的指令来配置计算块1824A-1824N中的一个或多个。然后可以将用来执行指令的计算块配置成在执行指令的操作之前解释处于非标准格式的数据。在一个实施例中,FPU编码模块2104要配置计算块中的一个或多个以使用可以最高效地存储要处理的数据的浮点编码格式。此类确定可以部分地基于由统计单元1829提供的概率和统计信息来执行,所述统计单元1829可以作为位于GPGPU本地存储器1828的存储器控制器2106内的近数据计算单元起作用。
图22示出了根据实施例的使用动态可配置计算单元来处理指令的逻辑2200。逻辑2200可以是如本文所描述的GPGPU和/或GPGPU多处理器(诸如如图14中的多处理器单元1400或者图18的GPGPU 1820)内的硬件或固件逻辑。如在块2202所示的,逻辑2200配置成获取和解码单个指令以对操作数集合执行组合的乘-加操作。如在块2204所示的,逻辑2200然后可以发布单个指令以用于由计算单元执行以用于由动态可配置计算单元执行。如在块2206所示的,逻辑2200然后可以将计算单元的一个或多个逻辑单元配置成以操作数的精度和数据类型执行操作。如在块2208所示的,逻辑2200然后可以在计算单元执行单个指令以基于乘法和加法操作生成输出。
在一个实施例中,在块2202执行的组合乘法和加法操作可以是包括单个舍入的融合浮点操作。例如,乘法和加法操作可以是融合乘-加或融合乘-累加操作。组合的乘法和加法操作也可以是整数操作。整数操作可以包括乘法和加法之间的舍入操作。可以通过经由在逻辑单元内的复用器在整数数据类型的最高位位置插入零来执行所述舍入。在逻辑单元内复用器可以定位在乘法器之后并且定位在加法器之前。
在一个实施例中,块2204的动态可配置逻辑单元是可配置成执行整数或浮点操作的合并的浮点和整数逻辑单元。例如,动态可配置逻辑单元可以是图16的逻辑单元1600、图17A的1700或图17B的1740中的一个。计算单元可以包括此类逻辑单元的多个不同实例。在一个实施例中,逻辑单元在每周期基础上可配置。在一个实施例中,逻辑单元是第一逻辑单元,其配置成使用在浮点数据路径和整数数据路径之间共享的乘法器和加法器来执行单周期融合乘加操作。在一个实施例中,逻辑单元是配置成执行具有单周期吞吐量的双周期融合乘法累加操作的第二逻辑单元。在一个实施例中,逻辑单元是配置成执行双周期融合乘法累加操作的第三逻辑单元,其中第三逻辑包括具有输入和输出操作数的两倍位宽的累加器。在一个实施例中,第三逻辑单元的管芯面积至多比第二逻辑单元的管芯面积大百分之十一。
本文描述的动态可配置逻辑单元可以配置成执行整数或浮点操作。在一个实施例中,逻辑单元中的一个或多个可以配置成以多个不同的精度执行操作。在一个实施例中,逻辑单元可以用于经由多周期操作以多个不同精度执行操作。在一个实施例中,可以选择不同的浮点编码,包括IEEE 754半精度浮点格式、单精度浮点格式和双精度浮点格式。也可以使用非标准浮点格式,其中不同位分配被用于浮点值的指数和尾数。
在一个实施例中,基于乘法和加法操作的输出然后可以由激活函数另外处理。例如,响应于单个指令,可以由FMADD/FMAC线程调度器单元调度FMADD或FMAC操作,如图19中所示的。此类操作的输出可以是可以提供到激活函数逻辑(例如,如图19中的激活函数逻辑1904)以生成神经元激活数据的激活映射数据。
图23A示出了根据实施例的执行机器学习指令的逻辑2300。逻辑2300可以是如本文所描述的GPGPU和/或GPGPU多处理器(诸如如图14中的多处理器单元1400,或者图18的GPGPU 1820)内的硬件或固件逻辑。如在块2302所示的,逻辑2300配置成获取并解码单个指令以经由机器学习加速器单元执行机器学习操作集合。机器学习加速器单元包括本文描述的机器学习加速器1823的元件,包括图18B的激活指令模块1832、FPU编码和配置模块1834以及随机量化单元1838。如在块2304所示的,逻辑2300然后可以发布单个指令以用于由动态可配置计算单元集合执行。如在块2306所示的,逻辑然后可以将计算单元集合配置成以比操作的输入和输出更高的精度执行机器学习操作集合。在一个实施例中,配置由如本文所描述的FPU配置模块执行。FPU配置模块可以配置计算单元以例如使用32位中间数据对16位浮点矩阵数据执行卷积操作。如在块2308所示的,逻辑2300然后可以在经由机器学习加速器内的随机舍入逻辑的输出之前将较高精度中间值量化成较低精度。例如,可以使用随机舍入将32位中间数据量化成16位以用于输出。
图23B示出了根据实施例的基于神经网络数据的分布来配置浮点操作的逻辑2310。在一个实施例中,逻辑2300包括本文描述的硬件和固件逻辑和逻辑单元,包括图18B和图20的随机量化单元1838、图18B的FPU编码和配置模块1834。图20的统计累加器/估计器2008在一个实施例中包括在图18A的统计单元1829内。统计单元1829可以是包括在用于GPGPU的存储器控制器内的近数据计算单元,如图21中所示的。
如在块2312所示的,使用统计单元,逻辑2310可以确定存储在存储器中的神经网络数据的统计度量集合。逻辑2310然后可以经由统计度量来确定存储器中神经网络数据的分布,如在块2314所示的。在一个实施例中,逻辑2310可以对于计算单元配置浮点编码以用于执行机器学习操作集合,如在块2316所示的。逻辑2310然后可以配置机器学习加速器内的随机舍入逻辑以基于分布舍入,如在块2318所示的。随机舍入逻辑可以配置成基于分布舍入,使得量化的神经网络数据的概率分布比使用基于随机数生成器的随机舍入技术可以是可能的情况更接近预量化的数据。
附加的示范图形处理系统
以上描述的实施例的细节可以被结合在以下描述的图形处理系统和设备内。图24至图37的图形处理系统和设备示出了可以实现以上描述的技术中的任何和全部技术的替代系统和图形处理硬件。
附加的示范图形处理系统概述
图24是根据实施例的处理系统2400的框图。在各种实施例中,系统2400包括一个或多个处理器2402以及一个或多个图形处理器2408,并且可以是单处理器台式系统、多处理器工作站系统或具有大量处理器2402或处理器核2407的服务器系统。在一个实施例中,系统2400是被结合于片上系统(SoC)集成电路内的供在移动设备、手持式设备或嵌入式设备中使用的处理平台。
系统2400的实施例可以包括基于服务器的游戏平台、游戏控制台,其包括游戏与媒体控制台、移动游戏控制台、手持式游戏控制台或在线游戏控制台,或被结合于它们内。在一些实施例中,系统2400是移动电话、智能电话、平板计算设备或移动互联网设备。数据处理系统2400还可以包括可穿戴设备(诸如智能手表可穿戴设备、智能眼镜设备、增强现实设备或虚拟现实设备)、与所述可穿戴设备耦合、或者集成在所述可穿戴设备内。在一些实施例中,数据处理系统2400是电视或机顶盒设备,所述电视或机顶盒设备具有一个或多个处理器2402以及由一个或多个图形处理器2408生成的图形界面。
在一些实施例中,所述一个或多个处理器2402每个包括用于处理指令的一个或多个处理器核2407,所述指令在被执行时实行用于系统和用户软件的操作。在一些实施例中,所述一个或多个处理器核2407中的每个配置成处理特定的指令集2409。在一些实施例中,指令集2409可以促进复杂指令集计算(CISC)、精简指令集计算(RISC)、或经由超长指令字(VLIW)的计算。多个处理器核2407可以每个处理不同的指令集2409,所述指令集2409可以包括用于促进对其他指令集的仿真的指令。处理器核2407还可以包括其他处理设备,诸如数字信号处理器(DSP)。
在一些实施例中,处理器2402包括高速缓冲存储器2404。取决于架构,处理器2402可以具有单个内部高速缓存或多个内部高速缓存级别。在一些实施例中,在处理器2402的各种部件之间共享高速缓冲存储器。在一些实施例中,处理器2402还使用外部高速缓存(例如,3级(L3)高速缓存或末级高速缓存(LLC))(未示出),可以使用已知的高速缓存一致性技术在处理器核2407之间共享所述外部高速缓存。寄存器堆2406被附加地包括在处理器2402中,其可以包括用于存储不同类型的数据的不同类型的寄存器(例如,整数寄存器、浮点寄存器、状态寄存器、和指令指针寄存器)。一些寄存器可以是通用寄存器,而其他寄存器可以特定于处理器2402的设计。
在一些实施例中,处理器2402与处理器总线2410耦合以在处理器2402与系统2400中的其他部件之间传输通信信号,诸如地址、数据、或控制信号。在一个实施例中,系统2400使用示范‘中枢’系统架构,包括存储器控制器中枢2416和输入输出(I/O)控制器中枢2430。存储器控制器中枢2416促进存储器设备与系统2400的其他部件之间的通信,而I/O控制器中枢(ICH)2430经由本地I/O总线提供到I/O设备的连接。在一个实施例中,存储器控制器中枢2416的逻辑集成在处理器内。
存储器设备2420可以是动态随机存取存储器(DRAM)设备、静态随机存取存储器(SRAM)设备、闪存设备、相变存储器设备、或具有合适的性能以充当进程存储器的某个其他存储器设备。在一个实施例中,存储器设备2420可作为系统2400的系统存储器进行操作,以存储数据2422和指令2421供在所述一个或多个处理器2402执行应用或进程时使用。存储器控制器中枢2416还与可选的外部图形处理器2412耦合,所述可选的外部图形处理器2412可以与处理器2402中的所述一个或多个图形处理器2408通信以执行图形和媒体操作。
在一些实施例中,ICH 2430使得外围设备能够经由高速I/O总线连接至存储器设备2420和处理器2402。I/O外围设备包括但不限于音频控制器2446、固件接口2428、无线收发机2426(例如,Wi-Fi、蓝牙)、数据存储设备2424(例如,硬盘驱动器、闪存等)、以及用于将遗留(例如,个人系统2(PS/2))设备耦合至该系统的遗留I/O控制器2440。一个或多个通用串行总线(USB)控制器2442连接输入设备,诸如键盘和鼠标2444组合。网络控制器2434还可以与ICH 2430耦合。在一些实施例中,高性能网络控制器(未示出)与处理器总线2410耦合。将领会,所示出的系统2400是示范的而非限制性的,因为还可以使用不同地配置的其他类型的数据处理系统。例如,I/O控制器中枢2430可以集成在所述一个或多个处理器2402内,或者存储器控制器中枢2416和I/O控制器中枢2430可以集成到分立的外部图形处理器(诸如外部图形处理器2412)中。
图25是处理器2500的实施例的框图,其具有一个或多个处理器核2502A-2502N、集成存储器控制器2514、以及集成图形处理器2508。图25的具有与本文中的任何其他图的元件相同的参考号(或名称)的那些元件可以以与在本文中的其他地方描述的方式类似的任何方式进行操作或起作用,但不限于这样。处理器2500可以包括多达且包括由虚线块表示的附加核2502N的附加核。处理器核2502A-2502N中的每个包括一个或多个内部高速缓存单元2504A-2504N。在一些实施例中,每个处理器核还能够访问一个或多个共享高速缓存单元2506。
内部高速缓存单元2504A-2504N和共享高速缓存单元2506表示处理器2500内的高速缓冲存储器层级结构。高速缓冲存储器层级结构可以包括每个处理器核内的至少一级指令和数据高速缓存以及一级或多级共享中级高速缓存,诸如2级(L2)、3级(L3)、4级(L4)、或其他级的高速缓存,其中在外部存储器之前的最高级的高速缓存被分类为LLC。在一些实施例中,高速缓存一致性逻辑维持各种高速缓存单元2506与2504A-2504N之间的一致性。
在一些实施例中,处理器2500还可以包括系统代理核2510和一个或多个总线控制器单元2516的集合。所述一个或多个总线控制器单元2516管理一组外围总线,诸如一个或多个外围部件互连总线(例如,PCI、PCI Express)。系统代理核2510提供针对各种处理器部件的管理功能。在一些实施例中,系统代理核2510包括一个或多个集成存储器控制器2514,用于管理对(未示出的)各种外部存储器设备的访问。
在一些实施例中,处理器核2502A-2502N中的一个或多个包括对进行同步多线程的支持。在此类实施例中,系统代理核2510包括用于在多线程处理期间协调和操作处理器核2502A-2502N的部件。系统代理核2510可以附加地包括功率控制单元(PCU),所述功率控制单元包括用于调节处理器核2502A-2502N以及图形处理器2508的功率状态的逻辑和部件。
在一些实施例中,处理器2500附加地包括用于执行图形处理操作的图形处理器2508。在一些实施例中,图形处理器2508与一组共享高速缓存单元2506和系统代理核2510耦合,所述系统代理核2510包括所述一个或多个集成存储器控制器2514。在一些实施例中,显示控制器2511与图形处理器2508耦合以将图形处理器输出驱动到一个或多个耦合的显示器。在一些实施例中,显示控制器2511可以是经由至少一个互连与图形处理器耦合的单独模块,或者可以集成在图形处理器2508或系统代理核2510内。
在一些实施例中,基于环的互连单元2512用于耦合处理器2500的内部部件。然而,可以使用替代的互连单元,诸如点对点互连、切换式互连、或其他技术,包括本领域中公知的技术。在一些实施例中,图形处理器2508经由I/O链路2513与环形互连2512耦合。
示范I/O链路2513表示多种I/O互连中的至少一种,包括促进各种处理器部件与高性能嵌入式存储器模块2518(诸如eDRAM模块)之间的通信的封装上I/O互连。在一些实施例中,处理器核2502A-2502N中的每个处理器核以及图形处理器2508将嵌入式存储器模块2518用作共享的末级高速缓存。
在一些实施例中,处理器核2502A-2502N是执行相同指令集架构的同构核。在另一实施例中,处理器核2502A-2502N在指令集架构(ISA)方面是异构的,其中处理器核2502A-2502N中的一个或多个执行第一指令集,而其他核中的至少一个执行第一指令集的子集或不同的指令集。在一个实施例中,处理器核2502A-2502N在微架构方面是异构的,其中具有相对较高功率消耗的一个或多个核与具有较低功率消耗的一个或多个功率核耦合。另外,处理器2500可以被实现在一个或多个芯片上或者被实现为除其他部件之外还具有所示出的部件的SoC集成电路。
图26是图形处理器2600的框图,所述图形处理器2600可以是分立的图形处理单元、或者可以是与多个处理核一起集成的图形处理器。在一些实施例中,图形处理器经由到图形处理器上的寄存器的存储器映射的I/O接口并且利用被放置到处理器存储器中的命令进行通信。在一些实施例中,图形处理器2600包括用于访问存储器的存储器接口2614。存储器接口2614可以是到本地存储器、一个或多个内部高速缓存、一个或多个共享外部高速缓存、和/或到系统存储器的接口。
在一些实施例中,图形处理器2600还包括用于将显示输出数据驱动到显示设备2620的显示控制器2602。显示控制器2602包括用于显示器的一个或多个重叠平面的硬件以及多层视频或用户界面元素的组成。在一些实施例中,图形处理器2600包括用于对媒体进行编码、解码或者向一个或多个媒体编码格式、从一个或多个媒体编码格式或在一个或多个媒体编码格式之间对媒体进行转码的视频编解码器引擎2606,所述一个或多个媒体编码格式包括但不限于运动图像专家组(MPEG)格式(诸如MPEG-2)、高级视频编码(AVC)格式(诸如H.264/MPEG-4AVC)、以及电影&电视工程师协会(SMPTE)421M/VC-1和联合图像专家组(JPEG)格式(诸如JPEG、以及运动JPEG(MJPEG)格式)。
在一些实施例中,图形处理器2600包括用于执行包括例如位边界块传送的二维(2D)光栅化器操作的块图像传送(BLIT)引擎2604。然而,在一个实施例中,使用图形处理引擎(GPE)2610的一个或多个部件执行2D图形操作。在一些实施例中,GPE 2610是用于执行图形操作的计算引擎,所述图形操作包括三维(3D)图形操作和媒体操作。
在一些实施例中,GPE 310包括用于执行3D操作的3D流水线2612,所述3D操作诸如使用对3D图元形状(例如,矩形、三角形等)起作用的处理功能来渲染三维图像和场景。3D流水线2612包括可编程且固定的功能元件,所述可编程且固定的功能元件执行元件内的各种任务和/或向3D/媒体子系统2615大量产生执行线程。虽然3D流水线2612可以用于执行媒体操作,但是GPE 2610的实施例还包括媒体流水线2616,所述媒体流水线2616特别地用于执行媒体操作,诸如视频后处理和图像增强。
在一些实施例中,媒体流水线2616包括固定功能或可编程逻辑单元,以代替、或代表视频编解码器引擎2606来执行一个或多个专门的媒体操作,诸如视频解码加速、视频解交织、以及视频编码加速。在一些实施例中,媒体流水线2616附加地包括线程大量产生单元以大量产生用于在3D/媒体子系统2615上执行的线程。所大量产生的线程为在3D/媒体子系统2615中所包括的一个或多个图形执行单元上的媒体操作执行计算。
在一些实施例中,3D/媒体子系统2615包括用于执行通过3D流水线2612和媒体流水线2616大量产生的线程的逻辑。在一个实施例中,流水线向3D/媒体子系统2615发送线程执行请求,所述3D/媒体子系统2615包括用于仲裁各种请求并将各种请求分派到可用的线程执行资源的线程分派逻辑。执行资源包括用于处理3D和媒体线程的图形执行单元的阵列。在一些实施例中,3D/媒体子系统2615包括用于线程指令和数据的一个或多个内部高速缓存。在一些实施例中,所述子系统还包括共享存储器(包括寄存器和可寻址存储器)以在线程之间共享数据和存储输出数据。
附加的示范图形处理引擎
图27是依照一些实施例的图形处理器的图形处理引擎2710的框图。在一个实施例中,图形处理引擎(GPE)2710是图26中示出的GPE 2610的一个版本。图27的具有与本文中的任何其他图的元件相同的参考号(或名称)的元件可以以与在本文中的其他地方描述的方式类似的任何方式进行操作或起作用,但不限于这样。例如,示出了图26的3D流水线2612和媒体流水线2616。媒体流水线2616在GPE 2710的一些实施例中是可选的,并且可能没有显式地包括在GPE 2710内。例如并且在至少一个实施例中,单独的媒体和/或图像处理器被耦合至GPE 2710。
在一些实施例中,GPE 2710与命令流送器2703耦合或包括命令流送器2703,所述命令流送器2703向3D流水线2612和/或媒体流水线2616提供命令流。在一些实施例中,命令流送器2703与存储器耦合,所述存储器可以是系统存储器、或内部高速缓冲存储器和共享高速缓冲存储器中的一个或多个。在一些实施例中,命令流送器2703从存储器接收命令并将命令发送至3D流水线2612和/或媒体流水线2616。所述命令是从存储用于3D流水线2612和媒体流水线2616的命令的环形缓冲器获取的指示。在一个实施例中,环形缓冲器另外可以包括存储多个命令的批次的批命令缓冲器。用于3D流水线2612的命令还可以包括对存储器中存储的数据的引用,所述数据诸如但不限于用于3D流水线2612的顶点和几何数据和/或用于媒体流水线2616的图像数据和存储器对象。3D流水线2612和媒体流水线2616通过经由相应流水线内的逻辑来执行操作或者通过将一个或多个执行线程分派至图形核阵列2714而处理命令和数据。
在各种实施例中,3D流水线2612可以通过处理指令并将执行线程分派给图形核阵列2714来执行一个或多个着色器程序,诸如顶点着色器、几何着色器、像素着色器、片段着色器、计算着色器或其他着色器程序。图形核阵列2714提供统一的执行资源块。图形核阵列2714内的多用途执行逻辑(例如,执行单元)包括对各种3D API着色器语言的支持,并且可以执行与多个着色器相关联的多个同时的执行线程。
在一些实施例中,图形核阵列2714还包括用于执行诸如视频和/或图像处理之类的媒体功能的执行逻辑。在一个实施例中,除了图形处理操作之外,执行单元附加地包括可编程以执行并行通用计算操作的通用逻辑。通用逻辑可以与图24的(多个)处理器核2407或如图25中的处理器核2502A-2502N内的通用逻辑并行地或结合地执行处理操作。
由在图形核阵列2714上执行的线程生成的输出数据可以将数据输出到统一返回缓冲器(URB)2718中的存储器。URB 2718可以为多个线程存储数据。在一些实施例中,URB2718可以用于在图形核阵列2714上执行的不同线程之间发送数据。在一些实施例中,URB2718可以另外用于共享功能逻辑2720内的固定功能逻辑与图形核阵列上的线程之间的同步。
在一些实施例中,图形核阵列2714是可缩放的,使得所述阵列包括可变数量的图形核,每个具有基于GPE 2710的目标功率和性能级别的可变数量的执行单元。在一个实施例中,执行资源是动态可缩放的,使得可以根据需要来启用或禁用执行资源。
图形核阵列2714与共享功能逻辑2720耦合,所述共享功能逻辑2720包括在图形核阵列中的图形核之间共享的多个资源。共享功能逻辑2720内的共享功能是向图形核阵列2714提供专门的补充功能的硬件逻辑单元。在各种实施例中,共享功能逻辑2720包括但不限于采样器2721、数学2722和线程间通信(ITC)2723逻辑。另外,一些实施例实现共享功能逻辑2720内的一个或多个高速缓存2725。在针对给定的专门的功能的需求不足以包含在图形核阵列2714内的情况下实现共享功能。替代地,该专门的功能的单个例示被实现为共享功能逻辑2720中的独立实体并且在图形核阵列2714内的执行资源之间共享。在图形核阵列2714之间共享并被包括在图形核阵列2714内的一组精确的功能在实施例之间变化。
图28是图形处理器2800的另一实施例的框图。图28的具有与本文中的任何其他图的元件相同的参考号(或名称)的元件可以以与在本文中的其他地方描述的方式类似的任何方式进行操作或起作用,但不限于这样。
在一些实施例中,图形处理器2800包括环形互连2802、流水线前端2804、媒体引擎2837、以及图形核2880A-2880N。在一些实施例中,环形互连2802将图形处理器耦合至其他处理单元,所述其他处理单元包括其他图形处理器或者一个或多个通用处理器核。在一些实施例中,图形处理器是集成在多核处理系统内的许多处理器中的一个。
在一些实施例中,图形处理器2800经由环形互连2802接收多批命令。传入命令由流水线前端2804中的命令流送器2803来解译。在一些实施例中,图形处理器2800包括用于经由(多个)图形核2880A-2880N执行3D几何处理和媒体处理的可缩放的执行逻辑。对于3D几何处理命令,命令流送器2803将命令供应至几何流水线2836。针对至少一些媒体处理命令,命令流送器2803将命令供应至视频前端2834,所述视频前端2834与媒体引擎2837耦合。在一些实施例中,媒体引擎2837包括用于视频和图像后处理的视频质量引擎(VQE)2830以及用于提供硬件加速的媒体数据编码和解码的多格式编码/解码(MFX)2833引擎。在一些实施例中,几何流水线2836和媒体引擎2837各自针对由至少一个图形核2880A提供的线程执行资源生成执行线程。
在一些实施例中,图形处理器2800包括以模块化核2880A-2880N(有时被称为核切片)为特色的可缩放的线程执行资源,所述模块化核2880A-2880N中的每个具有多个子核2850A-550N、2860A-2860N(有时被称为核子切片)。在一些实施例中,图形处理器2800可以具有任何数量的图形核2880A至2880N。在一些实施例中,图形处理器2800包括图形核2880A,所述图形核2880A至少具有第一子核2850A和第二子核2860A。在其他实施例中,图形处理器是具有单个子核(例如,2850A)的低功率处理器。在一些实施例中,图形处理器2800包括多个图形核2880A-2880N,每个包括一组第一子核2850A-2850N和一组第二子核2860A-2860N。该组第一子核2850A-2850N中的每个子核至少包括第一组执行单元2852A-2852N和媒体/纹理采样器2854A-2854N。该组第二子核2860A-2860N中的每个子核至少包括第二组执行单元2862A-2862N和采样器2864A-2864N。在一些实施例中,每个子核2850A-2850N、2860A-2860N共享一组共享资源2870A-2870N。在一些实施例中,所述共享资源包括共享高速缓冲存储器和像素操作逻辑。其他共享资源也可以被包括在图形处理器的各种实施例中。
附加的示范执行单元
图29示出了线程执行逻辑2900,所述线程执行逻辑2900包括在GPE的一些实施例中采用的处理元件的阵列。图29的具有与本文中的任何其他图的元件相同的参考号(或名称)的元件可以以与在本文中的其他地方描述的方式类似的任何方式进行操作或起作用,但不限于这样。
在一些实施例中,线程执行逻辑2900包括着色器处理器2902、线程分派器2904、指令高速缓存2906、包括多个执行单元2908A-2908N的可缩放的执行单元阵列、采样器2910、数据高速缓存2912、以及数据端口2914。在一个实施例中,可缩放的执行单元阵列可以通过基于工作负荷的计算要求来启用或禁用一个或多个执行单元(例如,执行单元2908A、2908B、2908C、2908D至2908N-1和2908N中的任何)来动态地缩放。在一个实施例中,所包括的部件经由互连结构而互连,所述互连结构链接到部件中的每个部件。在一些实施例中,线程执行逻辑2900包括通过指令高速缓存2906、数据端口2914、采样器2910、以及执行单元2908A-2908N中的一个或多个到存储器(诸如系统存储器或高速缓冲存储器)的一个或多个连接。在一些实施例中,每个执行单元(例如,2908A)是能够执行多个同时的硬件线程同时针对每个线程并行地处理多个数据元素的独立可编程通用计算单元。在各种实施例中,执行单元2908A-2908N的阵列是可缩放的以包括任何数量的单独执行单元。
在一些实施例中,执行单元2908A-2908N主要用于执行着色器程序。着色器处理器2902可以处理各种着色器程序并且经由线程分派器2904分派与着色器程序相关联的执行线程。在一个实施例中,线程分派器包括用于对来自图形和媒体流水线的线程发起请求进行仲裁并且在执行单元2908A-2908N中的一个或多个执行单元上实例化所请求的线程的逻辑。例如,几何流水线(例如,图28的2836)可以将顶点、曲面细分或几何着色器分派至线程执行逻辑2900(图29)以用于处理。在一些实施例中,线程分派器2904还可处理来自执行着色器程序的运行时线程大量产生请求。
在一些实施例中,执行单元2908A-2908N支持包括对许多标准3D图形着色器指令的本机支持的指令集,使得以最小的转换来执行来自图形库(例如,Direct 3D和OpenGL)的着色器程序。执行单元支持顶点和几何处理(例如,顶点程序、几何程序、顶点着色器)、像素处理(例如,像素着色器、片段着色器)以及通用处理(例如,计算和媒体着色器)。执行单元2908A-2908N中的每个都有多发布单指令多数据(SIMD)执行的能力,并且多线程操作使得在面对较高等待时间的存储器访问时能实现高效执行环境。每个执行单元内的每个硬件线程都具有专用的高带宽寄存器堆和关联的独立线程状态。对于有整数、单和双精度浮点操作、SIMD分支能力、逻辑操作、超越操作和其他杂项操作能力的流水线,执行是每一时钟的多发布。在等待来自存储器或共享功能中的一个的数据时,执行单元2908A-2908N内的依赖逻辑使等待线程休眠,直到所请求的数据已返回。当等待线程正在休眠时,硬件资源可能会被专门用于处理其他线程。例如,在与顶点着色器操作相关联的延迟期间,执行单元可以执行像素着色器、片段着色器或包括不同顶点着色器的另一类型的着色器程序的操作。
执行单元2908A-2908N中的每个执行单元对数据元素的阵列进行操作。数据元素的数量是“执行大小”、或用于指令的通道的数量。执行通道是用于数据元素访问、掩蔽和指令内的流控制的执行的逻辑单元。通道的数量可以与针对特定图形处理器的物理算术逻辑单元(ALU)或浮点单元(FPU)的数量无关。在一些实施例中,执行单元2908A-2908N支持整数和浮点数据类型。
执行单元指令集包括SIMD指令。各种数据元素可作为压缩数据类型存储在寄存器中,并且执行单元将基于元素的数据大小来处理各种元素。例如,当对256位宽的向量进行操作时,该256位的向量被存储在寄存器中并且执行单元按照四个单独的64位压缩数据元素(四倍字长(QW)大小的数据元素)、八个单独的32位压缩数据元素(双字(DW)大小的数据元素)、十六个单独的16位压缩数据元素(字(W)大小的数据元素)、或三十二个单独的8位数据元素(字节(B)大小的数据元素)对该向量进行操作。然而,不同的向量宽度和寄存器大小是可能的。
一个或多个内部指令高速缓存(例如,2906)被包括在线程执行逻辑2900中以高速缓存用于执行单元的线程指令。在一些实施例中,一个或多个数据高速缓存(例如,2912)被包括用于在线程执行期间高速缓存线程数据。在一些实施例中,采样器2910被包括用于为3D操作提供纹理采样并且为媒体操作提供媒体采样。在一些实施例中,采样器2910包括专门的纹理或媒体采样功能,以在向执行单元提供采样数据之前在采样过程期间处理纹理或媒体数据。
在执行期间,图形和媒体流水线经由线程大量产生和分派逻辑向线程执行逻辑2900发送线程发起请求。一旦一组几何对象已经被处理并被光栅化成像素数据,着色器处理器2902内的像素处理器逻辑(例如,像素着色器逻辑、片段着色器逻辑等)就被调用以进一步计算输出信息并且使得结果被写入到输出表面(例如,颜色缓冲器、深度缓冲器、模板印刷缓冲器等)。在一些实施例中,像素着色器或片段着色器计算要跨经光栅化对象来内插的各种顶点属性的值。在一些实施例中,着色器处理器2902内的像素处理器逻辑然后执行应用编程接口(API)供应的像素或片段着色器程序。为了执行着色器程序,着色器处理器2902经由线程分派器2904将线程分派至执行单元(例如,2908A)。在一些实施例中,像素着色器2902使用采样器2910中的纹理采样逻辑来访问存储器中所存储的纹理映射中的纹理数据。对纹理数据和输入几何数据的算术操作计算每个几何片段的像素颜色数据,或丢弃一个或多个像素以免进一步处理。
在一些实施例中,数据端口2914提供存储器访问机制,供线程执行逻辑2900将经处理的数据输出至存储器以用于在图形处理器输出流水线上进行处理。在一些实施例中,数据端口2914包括或耦合至一个或多个高速缓冲存储器(例如,数据高速缓存2912),以经由数据端口来高速缓存数据用于存储器访问。
图30是示出了根据一些实施例的图形处理器指令格式3000的框图。在一个或多个实施例中,图形处理器执行单元支持具有采用多个格式的指令的指令集。实线块示出了一般被包括在执行单元指令中的分量,而虚线包括可选的或仅被包括在指令的子集中的分量。在一些实施例中,所描述和示出的指令格式3000是宏指令,因为它们是供应至执行单元的指令,与一旦指令被处理由指令解码引起的微操作相反。
在一些实施例中,图形处理器执行单元本机地支持采用128位指令格式3010的指令。基于所选指令、指令选项和操作数的数量,64位压缩指令格式3030可用于一些指令。本机128位指令格式710提供对所有指令选项的访问,而一些选项和操作限制在64位格式3030中。64位格式3030中可用的本机指令因实施例而不同。在一些实施例中,使用索引字段3013中的一组索引值将指令部分地压缩。执行单元硬件基于索引值来引用一组压缩表,并使用压缩表输出来重构采用128位指令格式3010的本机指令。
针对每个格式,指令操作码3012定义执行单元要执行的操作。执行单元跨每个操作数的多个数据元素来并行地执行每个指令。例如,响应于添加指令,执行单元跨每个颜色通道执行同时添加操作,所述每个颜色通道表示纹理元素或图片元素。默认地,执行单元跨操作数的所有数据通道执行每个指令。在一些实施例中,指令控制字段3014使能控制某些执行选项,诸如通道选择(例如,预测)以及数据通道排序(例如,拌和)。针对采用128位指令格式3010的指令,执行大小字段3016限制了将并行执行的数据通道的数量。在一些实施例中,执行大小字段3016不可用于在64位压缩指令格式3030中使用。
一些执行单元指令具有多达三个操作数,包括两个源操作数——src0 3020、src13022和一个目的地3018。在一些实施例中,执行单元支持双目的地指令,其中目的地中的一个是隐式的。数据操纵指令可以具有第三源操作数(例如,SRC2 3024),其中指令操作码3012确定源操作数的数量。指令的最后的源操作数可以是利用所述指令传递的立即(例如,硬编码)值。
在一些实施例中,128位指令格式3010包括访问/寻址模式字段3026,所述访问/寻址模式字段3026指定例如是使用直接寄存器寻址模式还是间接寄存器寻址模式。当使用直接寄存器寻址模式时,直接由指令中的位来提供一个或多个操作数的寄存器地址。
在一些实施例中,128位指令格式3010包括访问/寻址模式字段3026,所述访问/寻址模式字段3026指定指令的寻址模式和/或访问模式。在一个实施例中,访问模式用于限定针对指令的数据访问对齐。一些实施例支持包括16字节对齐的访问模式和1字节对齐的访问模式的访问模式,其中访问模式的字节对齐确定了指令操作数的访问对齐。例如,当在第一模式中时,指令可以将字节对齐的寻址用于源操作数和目的地操作数,并且当在第二模式中时,指令可以将16字节对齐的寻址用于所有源操作数和目的地操作数。
在一个实施例中,访问/寻址模式字段3026的寻址模式部分确定指令要使用直接寻址还是间接寻址。当使用直接寄存器寻址模式时,指令中的位直接提供一个或多个操作数的寄存器地址。当使用间接寄存器寻址模式时,可以基于指令中的地址寄存器值和地址立即数字段来计算一个或多个操作数的寄存器地址。
在一些实施例中,基于操作码3012位字段对指令进行分组以简化操作码解码3040。针对8位操作码,第4、5和6位允许执行单元确定操作码的类型。所示出的精确操作码分组仅是示范的。在一些实施例中,移动和逻辑操作码组3042包括数据移动和逻辑指令(例如,移动(mov)、比较(cmp))。在一些实施例中,移动和逻辑组3042共享五个最高有效位(MSB),其中移动(mov)指令采用0000xxxxb的形式并且逻辑指令采用0001xxxxb的形式。流控制指令组3044(例如,调用、跳(jmp))包括采用0010xxxxb(例如,0x20)形式的指令。杂项指令组3046包括指令的混合,包括采用0011xxxxb(例如,0x30)形式的同步指令(例如,等待、发送)。并行数学指令组3048包括采用0100xxxxb(例如,0x40)形式的分量方面的算术指令(例如,加、乘(mul))。并行数学组3048跨数据通道并行地执行算术操作。向量数学组3050包括采用0101xxxxb(例如,0x50)形式的算术指令(例如,dp4)。向量数学组对向量操作数执行算术,诸如点积计算。
附加的示范图形流水线
图31是图形处理器3100的另一实施例的框图。图31的具有与本文中的任何其他图的元件相同的参考号(或名称)的元件可以以与在本文中的其他地方描述的方式类似的任何方式进行操作或起作用,但不限于这样。
在一些实施例中,图形处理器3100包括图形流水线3120、媒体流水线3130、显示引擎3140、线程执行逻辑3150、以及渲染输出流水线3170。在一些实施例中,图形处理器3100是包括一个或多个通用处理核的多核处理系统内的图形处理器。图形处理器由至(未示出的)一个或多个控制寄存器的寄存器写入来控制或者经由通过环形互连3102发布到图形处理器3100的命令来控制。在一些实施例中,环形互连3102将图形处理器3100耦合至其他处理部件,诸如其他图形处理器或通用处理器。来自环形互连3102的命令由命令流送器3103来解译,所述命令流送器3103将指令供应到图形流水线3120或媒体流水线3130的单独部件。
在一些实施例中,命令流送器3103引导顶点获取器3105的操作,所述顶点获取器3105从存储器读取顶点数据并执行由命令流送器3103所提供的顶点处理命令。在一些实施例中,顶点获取器3105将顶点数据提供给顶点着色器3107,所述顶点着色器3107对每个顶点执行坐标空间变换和光照操作。在一些实施例中,顶点获取器3105和顶点着色器3107通过经由线程分派器3131向执行单元3152A-3152B分派执行线程来执行顶点处理指令。
在一些实施例中,执行单元3152A-3152B是具有用于执行图形和媒体操作的指令集的向量处理器的阵列。在一些实施例中,执行单元3152A-3152B具有附接的L1高速缓存3151,所述L1高速缓存3151是针对每个阵列特定的或在阵列之间共享。该高速缓存可以被配置为数据高速缓存、指令高速缓存或单个高速缓存,其被分区以将数据和指令包含在不同分区中。
在一些实施例中,图形流水线3120包括用于执行3D对象的硬件加速的曲面细分的曲面细分部件。在一些实施例中,可编程的外壳着色器811配置曲面细分操作。可编程域着色器817提供对曲面细分输出的后端评估。曲面细分器3113在外壳着色器3111的方向上进行操作并且包含专用逻辑,所述专用逻辑用于基于粗糙几何模型来生成一组详细的几何对象,其被作为输入提供到图形流水线3120。在一些实施例中,如果未使用曲面细分,则可以绕开曲面细分部件(例如,外壳着色器3111、曲面细分器3113和域着色器3117)。
在一些实施例中,完整的几何对象可以由几何着色器3119经由分派给执行单元3152A-3152B的一个或多个线程来处理,或者可以直接行进至剪辑器3129。在一些实施例中,几何着色器对整个几何对象而非对如在图形流水线的先前阶段中的顶点或者顶点补丁进行操作。如果禁用曲面细分,则几何着色器3119从顶点着色器3107接收输入。在一些实施例中,几何着色器3119可由几何着色器程序编程以在曲面细分单元被禁用时执行几何曲面细分。
在光栅化之前,剪辑器3129处理顶点数据。剪辑器3129可以是固定功能的剪辑器或者具有剪辑和几何着色器功能的可编程剪辑器。在一些实施例中,渲染输出流水线3170中的光栅化器和深度测试部件3173分派像素着色器以将几何对象转换成其每一像素表示。在一些实施例中,像素着色器逻辑被包括在线程执行逻辑3150中。在一些实施例中,应用可以绕开光栅化器和深度测试部件3173并且经由流出单元3123访问未光栅化的顶点数据。
图形处理器3100具有互连总线、互连结构或允许数据和消息在该处理器的主要部件之间传递的某个其他互连机构。在一些实施例中,执行单元3152A-3152B和(多个)相关联的高速缓存3151、纹理和媒体采样器3154、以及纹理/采样器高速缓存3158经由数据端口3156进行互连以执行存储器访问并且与处理器的渲染输出流水线部件进行通信。在一些实施例中,采样器3154、高速缓存3151、3158以及执行单元3152A-3152B各自具有单独的存储器访问路径。
在一些实施例中,渲染输出流水线3170包含光栅化器和深度测试部件3173,其将基于顶点的对象转换成相关联的基于像素的表示。在一些实施例中,光栅化器逻辑包括用于执行固定功能三角形和线光栅化的窗口器/掩蔽器单元。相关联的渲染高速缓存3178和深度高速缓存3179在一些实施例中也是可用的。像素操作部件3177对数据执行基于像素的操作,然而在一些实例中,与2D操作(例如,利用混合的位块图像传送)相关联的像素操作由2D引擎3141执行,或者在显示时间由显示控制器3143使用重叠显示平面来代替。在一些实施例中,共享的L3高速缓存3175可用于所有图形部件,从而允许在无需使用主系统存储器的情况下共享数据。
在一些实施例中,图形处理器媒体流水线3130包括媒体引擎3137和视频前端3134。在一些实施例中,视频前端3134从命令流送器3103接收流水线命令。在一些实施例中,媒体流水线3130包括单独的命令流送器。在一些实施例中,视频前端3134在将媒体命令发送至媒体引擎3137之前处理该命令。在一些实施例中,媒体引擎3137包括线程大量产生功能,以大量产生线程用于经由线程分派器3131分派至线程执行逻辑3150。
在一些实施例中,图形处理器3100包括显示引擎3140。在一些实施例中,显示引擎3140在处理器3100外部并且经由环形互连3102或者某个其他互连总线或结构与图形处理器耦合。在一些实施例中,显示引擎3140包括2D引擎3141和显示控制器3143。在一些实施例中,显示引擎3140包含能够独立于3D流水线而操作的专用逻辑。在一些实施例中,显示控制器3143与(未示出的)显示设备耦合,所述显示设备可以是系统集成显示设备(如在膝上型计算机中)、或者经由显示设备连接器附接的外部显示设备。
在一些实施例中,图形流水线3120和媒体流水线3130可配置成基于多个图形和媒体编程接口来执行操作并且并非特定于任一应用编程接口(API)。在一些实施例中,用于图形处理器的驱动器软件将特定于特定图形或媒体库的API调用转换成可以由图形处理器处理的命令。在一些实施例中,为都来自Khronos Group的开放图形库(OpenGL)、开放计算语言(OpenCL)和/或Vulkan图形和计算API提供支持。在一些实施例中,也可以为来自微软公司的Direct3D库提供支持。在一些实施例中,可以支持这些库的组合。还可以为开源计算机视觉库(OpenCV)提供支持。如果可以作出从未来的API的流水线到图形处理器的流水线的映射,则具有兼容的3D流水线的未来的API也将受到支持。
图形流水线编程
图32A是示出了根据一些实施例的图形处理器命令格式3200的框图。图32B是示出了根据实施例的图形处理器命令序列3210的框图。图32A中的实线块示出了一般被包括在图形命令中的分量,而虚线包括可选的或者仅被包括在该图形命令的子集中的分量。图32A的示范图形处理器命令格式3200包括用于标识命令的目标客户端3202、命令操作代码(操作码)3204、以及命令的相关数据3206的数据字段。一些命令中还包括子操作码3205和命令大小3208。
在一些实施例中,客户端3202指定处理命令数据的图形设备的客户端单元。在一些实施例中,图形处理器命令解析器检查每个命令的客户端字段以调节对命令的进一步处理并将命令数据路由至适当的客户端单元。在一些实施例中,图形处理器客户端单元包括存储器接口单元、渲染单元、2D单元、3D单元、和媒体单元。每个客户端单元具有对命令进行处理的对应处理流水线。一旦命令被客户端单元接收到,客户端单元就读取操作码3204以及子操作码3205(如果存在的话)来确定要执行的操作。客户端单元使用数据字段3206中的信息来执行命令。针对一些命令,期望显式的命令大小3208来指定命令的大小。在一些实施例中,命令解析器基于命令操作码来自动地确定命令中的至少一些命令的大小。在一些实施例中,经由双字的倍数对命令进行对齐。
图32B中的流程示出了示范图形处理器命令序列3210。在一些实施例中,以图形处理器的实施例为特色的数据处理系统的软件或固件使用所示出的命令序列的版本来建立、执行和终止一组图形操作。仅出于示例的目的示出并描述了样本命令序列,因为实施例不限于这些特定命令或者此命令序列。而且,所述命令可以被作为命令序列中的一批命令来发布,使得图形处理器将至少部分同时地处理命令的序列。
在一些实施例中,图形处理器命令序列3210可以以流水线转储清除命令3212开始,以使得任何活跃的图形流水线完成针对该流水线的当前未决命令。在一些实施例中,3D流水线3222和媒体流水线3224不同时进行操作。执行流水线转储清除以使得活跃的图形流水线完成任何未决命令。响应于流水线转储清除,用于图形处理器的命令解析器将暂停命令处理直到活跃的绘图引擎完成未决操作和相关的读取高速缓存为无效的。可选地,渲染高速缓存中被标记为‘脏’的任何数据可以被转储清除到存储器。在一些实施例中,流水线转储清除命令3212可以用于流水线同步或者用在将图形处理器置于低功率状态中之前。
在一些实施例中,当命令序列要求图形处理器在流水线之间显式地切换时,使用流水线选择命令3213。在一些实施例中,在发布流水线命令之前在执行上下文内仅需要一次流水线选择命令3213,除非该上下文要发布针对两个流水线的命令。在一些实施例中,紧接在经由流水线选择命令3213的流水线切换之前需要流水线转储清除命令3212。
在一些实施例中,流水线控制命令3214配置用于操作的图形流水线并且用于对3D流水线3222和媒体流水线3224进行编程。在一些实施例中,流水线控制命令3214为活跃的流水线配置流水线状态。在一个实施例中,流水线控制命令3214用于流水线同步并且用于在处理一批命令之前清除来自活跃的流水线内的一个或多个高速缓冲存储器的数据。
在一些实施例中,特定于返回缓冲器状态3216的命令用于配置一组返回缓冲器以用于使相应的流水线写入数据。一些流水线操作要求对一个或多个返回缓冲器的分配、选择或配置,所述操作在处理期间将中间数据写入到所述一个或多个返回缓冲器中。在一些实施例中,图形处理器还使用一个或多个返回缓冲器来存储输出数据并且执行跨线程通信。在一些实施例中,返回缓冲器状态3216包括选择要用于一组流水线操作的返回缓冲器的大小和数量。
命令序列中的剩余命令基于用于操作的活跃的流水线而不同。基于流水线确定3220,命令序列适合以3D流水线状态3230开始的3D流水线3222或者在媒体流水线状态3240下开始的媒体流水线3224。
用于配置3D流水线状态3230的命令包括用于顶点缓冲器状态、顶点元素状态、常量颜色状态、深度缓冲器状态、以及要在处理3D图元命令之前配置的其他状态变量的3D状态设置命令。这些命令的值至少部分地基于使用中的特定3D API来确定。在一些实施例中,3D流水线状态3230命令还能够选择性地禁用或绕开某些流水线元件,如果将不使用那些元件的话。
在一些实施例中,3D图元3232命令用于提交要由3D流水线处理的3D图元。经由3D图元3232命令传递给图形处理器的命令和相关联的参数被转发到图形流水线中的顶点获取功能。顶点获取功能使用3D图元3232命令数据来生成顶点数据结构。顶点数据结构被存储在一个或多个返回缓冲器中。在一些实施例中,3D图元3232命令用于经由顶点着色器对3D图元执行顶点操作。为了处理顶点着色器,3D流水线3222将着色器执行线程分派到图形处理器执行单元。
在一些实施例中,经由执行3234命令或事件来触发3D流水线3222。在一些实施例中,寄存器写入触发命令执行。在一些实施例中,经由命令序列中的‘前进(go)’或‘踢(kick)’命令来触发执行。在一个实施例中,使用流水线同步命令来触发命令执行以通过图形流水线来转储清除命令序列。3D流水线将执行针对3D图元的几何处理。一旦操作完成,所产生的几何对象就被光栅化并且像素引擎对所产生的像素进行着色。针对那些操作,还可以包括用于控制像素着色和像素后端操作的附加命令。
在一些实施例中,当执行媒体操作时,图形处理器命令序列3210跟随媒体流水线3224路径。一般地,针对媒体流水线3224的编程的特定使用和方式取决于要执行的媒体或计算操作。在媒体解码期间,特定的媒体解码操作可以被卸载到该媒体流水线。在一些实施例中,还可以绕开该媒体流水线,并且可使用由一个或多个通用处理核提供的资源来整体地或部分地执行媒体解码。在一个实施例中,媒体流水线还包括用于通用图形处理器单元(GPGPU)操作的元件,其中图形处理器被用于使用与渲染图形图元不显式相关的计算着色器程序来执行SIMD向量操作。
在一些实施例中,以与3D流水线3222类似的方式对媒体流水线3224进行配置。将用于配置媒体流水线状态3240的一组命令分派或放置到命令队列中,在媒体对象命令3242之前。在一些实施例中,媒体流水线状态命令3240包括用于配置媒体流水线元件的数据,所述媒体流水线元件将用于处理媒体对象。这包括用于在媒体流水线内配置视频解码和视频编码逻辑的数据,诸如编码或解码格式。在一些实施例中,媒体流水线状态命令3240也支持对指向包含一批状态设置的“间接”状态元素的一个或多个指针的使用。
在一些实施例中,媒体对象命令3242将指针供应至媒体对象以用于由媒体流水线进行处理。媒体对象包括存储器缓冲器,所述存储器缓冲器包含要被处理的视频数据。在一些实施例中,在发布媒体对象命令3242之前,所有的媒体流水线状态必须是有效的。一旦流水线状态被配置并且媒体对象命令3242被排队,就经由执行命令3244或等同的执行事件(例如,寄存器写入)来触发媒体流水线3224。然后可以通过由3D流水线3222或媒体流水线3224提供的操作对来自媒体流水线3224的输出进行后处理。在一些实施例中,以与媒体操作类似的方式来配置和执行GPGPU操作。
图形软件架构
图33示出了根据一些实施例的数据处理系统3300的示范图形软件架构。在一些实施例中,软件架构包括3D图形应用3310、操作系统3320、以及至少一个处理器3330。在一些实施例中,处理器3330包括图形处理器3332以及一个或多个通用处理器核3334。图形应用3310和操作系统3320各自在数据处理系统的系统存储器3350中执行。
在一些实施例中,3D图形应用3310包含包括着色器指令3312的一个或多个着色器程序。着色器语言指令可以采用高级着色器语言,诸如高级着色器语言(HLSL)或OpenGL着色器语言(GLSL)。应用还包括用适合于由通用处理器核3334执行的机器语言的可执行指令3314。应用还包括由顶点数据限定的图形对象3316。
在一些实施例中,操作系统3320是来自微软公司的
Figure BDA0003949839070000601
操作系统、专有的类似UNIX的操作系统、或使用Linux内核的变体的开源的类似UNIX的操作系统。操作系统3320可以支持图形API 3322,诸如Direct3D API、OpenGL API或Vulkan API。当Direct3D API在使用中时,操作系统3320使用前端着色器编译器3324将用HLSL的任何着色器指令3312编译成较低级的着色器语言。所述编译可以是即时(JIT)编译,或者所述应用可以执行着色器预编译。在一些实施例中,在3D图形应用3310的编译期间,将高级着色器编译成低级着色器。在一些实施例中,以中间形式提供着色器指令3312,诸如由Vulkan API使用的标准便携式中间表示(SPIR)的版本。
在一些实施例中,用户模式图形驱动器3326包含后端着色器编译器3327,用于将着色器指令3312转换成硬件特定的表示。当OpenGL API在使用中时,将用GLSL高级语言的着色器指令3312传递至用户模式图形驱动器3326以用于编译。在一些实施例中,用户模式图形驱动器3326使用操作系统内核模式功能3328来与内核模式图形驱动器3329进行通信。在一些实施例中,内核模式图形驱动器3329与图形处理器3332进行通信以分派命令和指令。
IP核实现
至少一个实施例的一个或多个方面可以由存储在机器可读介质上的代表性代码来实现,所述机器可读介质表示和/或限定诸如处理器之类的集成电路内的逻辑。例如,机器可读介质可以包括表示处理器内的各种逻辑的指令。当由机器读取时,所述指令可以使机器制造用于执行本文中所描述的技术的逻辑。此类表示(称为“IP核”)是用于集成电路的逻辑的可重复使用单元,其可以被作为描述集成电路的结构的硬件模型而存储在有形、机器可读介质上。可以将硬件模型供应至在制造集成电路的制造机器上加载硬件模型的各种消费者或制造设施。可以制造集成电路,使得该电路执行与本文中所描述的实施例中的任何实施例相关联地描述的操作。
图34是示出了根据实施例的可以用于制造集成电路以执行操作的IP核开发系统3400的框图。IP核开发系统3400可以用于生成可以结合到更大的设计中或用于构建整个集成电路(例如,SOC集成电路)的模块化、可重复使用的设计。设计设施3430可以用高级编程语言(例如,C/C++)生成IP核设计的软件仿真3410。软件仿真3410可用于使用仿真模型3412来设计、测试和验证IP核的行为。仿真模型3412可以包括功能、行为和/或时序仿真。然后可以从仿真模型3412创建或合成寄存器传输级(RTL)设计3415。RTL设计3415是对硬件寄存器之间的数字信号的流动进行建模的集成电路的行为的抽象,其包括使用建模的数字信号执行的相关联逻辑。除了RTL设计3415之外,还可以创建、设计或合成逻辑级别或晶体管级别处的较低级别设计。因此,初始设计和仿真的特定细节可能变化。
可以由设计设施将RTL设计3415或等同方案进一步合成为硬件模型3420,所述硬件模型3420可以用硬件描述语言(HDL)或物理设计数据的某种其他表示。可以进一步仿真或测试HDL以验证IP核设计。可使用非易失性存储器3440(例如,硬盘、闪存、或任何非易失性存储介质)来存储IP核设计以用于递送至第3方制造设施3465。替代地,可以通过有线连接3450或无线连接3460来(例如,经由互联网)传输IP核设计。制造设施3465然后可以制造至少部分地基于IP核设计的集成电路。所制造的集成电路可配置成执行依照本文中所描述的至少一个实施例的操作。
示范片上系统集成电路
图35-37示出了根据本文中所描述的各种实施例的可以使用一个或多个IP核来制造的示范集成电路和相关联的图形处理器。除了所示出的事物之外,还可以包括其他逻辑和电路,包括附加的图形处理器/核、外围接口控制器或通用处理器核。
图35是示出了根据实施例的可以使用一个或多个IP核来制造的示范片上系统集成电路3500的框图。示范集成电路3500包括一个或多个应用处理器3505(例如,CPU)、至少一个图形处理器3510,并且另外可以包括图像处理器3515和/或视频处理器3520,其中的任何都可以是来自相同或多个不同设计设施的模块化IP核。集成电路3500包括外围或总线逻辑,其包括USB控制器3525、UART控制器3530、SPI/SDIO控制器3535和I2S/I2C控制器3540。另外,集成电路可以包括显示设备3545,所述显示设备3545耦合至高清晰度多媒体接口(HDMI)控制器3550和移动行业处理器接口(MIPI)显示界面3555中的一个或多个。可以由包括闪存和闪存控制器的闪存子系统3560来提供存储。可以经由存储器控制器3565来提供存储器接口以用于对SDRAM或SRAM存储器设备的访问。一些集成电路附加地包括嵌入式安全引擎3570。
图36是示出了根据实施例的可以使用一个或多个IP核来制造的片上系统集成电路的示范图形处理器3610的框图。图形处理器3610可以是图36的图形处理器3610的变体。图形处理器3610包括顶点处理器3605和一个或多个片段处理器3615A-3615N(例如,3615A、3615B、3615C、3615D至3615N-1和3615N)。图形处理器3610可以经由单独的逻辑执行不同的着色器程序,使得顶点处理器3605被优化以执行用于顶点着色器程序的操作,而所述一个或多个片段处理器3615A-3615N执行用于片段或像素着色器程序的片段(例如,像素)着色操作。顶点处理器3605执行3D图形流水线的顶点处理阶段并生成图元和顶点数据。(多个)片段处理器3615A-3615N使用由顶点处理器3605生成的图元和顶点数据来产生显示在显示设备上的帧缓冲器。在一个实施例中,(多个)片段处理器3615A-3615N被优化以执行如在OpenGL API中提供的片段着色器程序,所述片段着色器程序可以用于执行与如在Direct3D API中提供的像素着色器程序类似的操作。
图形处理器3610附加地包括一个或多个存储器管理单元(MMU)3620A-3620B、(多个)高速缓存3625A-3625B和(多个)电路互连3630A-3630B。所述一个或多个MMU 3620A-3620B为集成电路3610,包括为顶点处理器3605和/或(多个)片段处理器3615A-3615N,提供虚拟到物理地址映射,所述虚拟到物理地址映射除了存储在所述一个或多个高速缓存3625A-3625B中的顶点或图像/纹理数据之外还可以引用存储在存储器中的顶点或图像/纹理数据。在一个实施例中,所述一个或多个MMU3625A-3625B可以与系统内的其他MMU同步,所述其他MMU包括与图36的所述一个或多个应用处理器3605、图像处理器3615和/或视频处理器3620相关联的一个或多个MMU,使得每个处理器3605-3620可以参与共享或统一的虚拟存储器系统。根据实施例,所述一个或多个电路互连3630A-3630B使得图形处理器3610能够经由SoC的内部总线或经由直接连接与SoC内的其他IP核对接。
图37是示出了根据实施例的可以使用一个或多个IP核来制造的片上系统集成电路的附加示范图形处理器3710的框图。图形处理器3710可以是图35的图形处理器3510的变体。图形处理器3710包括图35的集成电路3500的所述一个或多个MMU3520A-3520B、高速缓存3525A-3525B和电路互连3530A-3530B。
图形处理器3710包括一个或多个着色器核3715A-3715N(例如,3715A、3715B、3715C、3715D、3715E、3715F至3715N-1和3715N),它们提供统一的着色器核架构,其中单个核或类型或核可以执行所有类型的可编程着色器代码,所述可编程着色器代码包括用于实现顶点着色器、片段着色器和/或计算着色器的着色器程序代码。存在的着色器核的确切数量可以在实施例和实现之中变化。另外,图形处理器3710包括核间任务管理器3705,所述核间任务管理器3705充当用于将执行线程分派给一个或多个着色器核3715A-3715N的线程分派器,以及用于使分块操作加速以用于进行基于图块的渲染的分块单元3718,其中针对场景的渲染操作在图像空间中被细分,例如用于利用场景内的局部空间相干性或用于优化对内部高速缓存的使用。
本发明还公开了一组技术方案,如下:
1.一种机器学习硬件加速器,包括:
具有在整数数据路径和浮点数据路径之间共享的加法器和乘法器的计算单元,所述乘法器配置成在浮点操作期间门控输入操作数的高位,以使能基于第一操作数和第二操作数的尾数乘积的计算。
2.如技术方案1所述的机器学习硬件加速器,所述计算单元具有模式输入以在整数操作和浮点操作之间切换所述计算单元。
3.如技术方案2所述的机器学习硬件加速器,所述计算单元包括指数单元和尾数单元,其中所述指数单元和所述尾数单元包括在所述浮点数据路径和所述整数数据路径中。
4.如技术方案3所述的机器学习硬件加速器,其中所述模式输入要使开关能够将的第一操作数和第二操作数的指数和符号提供到所述指数单元以在浮点操作期间处理。
5.如技术方案4所述的机器学习硬件加速器,其中所述指数单元包括增量器以在整数操作期间递增由所述加法器输出的和的高位。
6.如技术方案1所述的机器学习硬件加速器,所述计算单元可配置成在第一周期期间输出整数结果并在第二周期期间输出浮点结果。
7.如技术方案1所述的机器学习硬件加速器,所述计算单元的所述乘法器在融合乘法累加操作的第一阶段期间执行乘法操作,并且在融合乘法累加操作的第二阶段期间执行加法操作。
8.如技术方案7所述的机器学习硬件加速器,所述融合乘法累加操作的所述第一阶段要在第一时钟周期期间执行,所述融合乘法累加操作的所述第二阶段要在第二时钟周期期间执行,以及所述计算单元要在所述第一时钟周期和所述第二时钟周期的每个期间输出结果。
9.如技术方案8所述的机器学习硬件加速器,所述计算单元用来在所述第一时钟周期期间输出所述第二阶段的结果。
10.如技术方案9所述的机器学习硬件加速器,所述计算单元用来以具有22位尾数的非IEEE格式存储中间浮点数据。
11.一种加速机器学习操作的方法,所述方法包括:
获取并解码单个指令以对操作数集合执行组合的乘法和加法操作;
发布所述单个指令以用于由动态可配置计算单元的执行;
配置所述计算单元的一个或多个逻辑单元来以所述操作数集合的数据类型和所述精度执行操作;以及
在所述动态可配置计算单元处执行所述单个指令的至少一部分以基于所述乘法和加法操作来生成和输出。
12.如技术方案11所述的方法,其中,所述组合乘法和加法操作是融合乘-加或融合乘-累加操作。
13.如技术方案11所述的方法,附加地包括经由机器学习加速器单元执行所述单个指令的至少一部分。
14.如技术方案13所述的方法,其中,执行所述单个指令的至少一部分包括:将具有第一精度的中间值量化成低于所述第一精度的第二精度,所述量化包括随机地舍入所述中间数据的小数部分。
15.如技术方案14所述的方法,附加地包括基于与所述中间数据关联的概率分布随机地舍入所述中间数据的所述小数部分。
16.一种数据处理系统,包括:
非暂时性机器可读介质,用来存储指令以用于由所述数据处理系统的一个或多个处理器的执行;以及
包括机器学习硬件加速器和动态精度计算单元的通用图形处理单元,所述机器学习硬件加速器包括用来响应于单个指令执行多个机器学习计算操作的硬件逻辑。
17.如技术方案16所述的数据处理系统,所述动态精度计算单元包括计算逻辑,所述计算逻辑具有在整数数据路径和浮点数据路径之间共享的加法器和乘法器,所述计算逻辑可配置成生成以非标准格式编码的浮点数据。
18.如技术方案17所述的数据处理系统,其中,响应于单个指令所述多个机器学习计算操作包括用来执行融合乘-加操作的第一操作和用来将激活函数应用于所述融合乘-加操作的输出的第二操作。
19.如技术方案18所述的数据处理系统,其中所述激活函数是S形函数。
20.如技术方案18所述的数据处理系统,所述机器学习硬件加速器包括随机量化单元以在所述多个机器学习计算操作期间在神经网络数据的量化期间执行随机舍入。
本文描述的实施例提供了逻辑单元,其包括用于乘-加(例如a*b+c)和乘-累加(例如c=c+a*b)操作两者的合并的整数/浮点数据路径。在一个实施例中,用于加法操作的加数基于之前操作的累加。在一个实施例中,逻辑单元的整数数据路径合并到具有与乘法操作并行的加数对齐操作的浮点数据路径中。在一个实施例中,整数数据路径合并成在乘法操作之后具有加数对齐操作的浮点数据路径。本文描述的乘-加和乘-累加数据路径可以是单周期或多周期的。
在一个实施例中,在双周期浮点乘-累加期间,逻辑单元不比较在第二阶段(例如,加法器阶段)的开始的尾数。相反,逻辑单元基于来自第二阶段的累加器指数和第一阶段期间计算的乘法器输出预计算较大(或较小)的尾数。
在一个实施例中,累加器或加数尾数位宽大于乘法器输入的尾数位宽。在一个实施例中,整数操作映射到浮点单元上。除了浮点单元的尾数电路之外,整数操作中的一些也映射到现有的指数电路上。在一个实施例中,本文描述的逻辑单元包括在浮点和整数操作之间共享并且用于执行浮点和整数操作两者的乘法器单元和加法器单元。
下面条款和/或示例涉及特定实施例或其示例。示例中的特定细节可用在一个或多个实施例中的任何地方。不同实施例或示例的各种特征可按各种方式与所包括的一些特征和所排除的其他特征组合,以适用各种各样的不同的应用。示例可包括主题,诸如方法、用于执行方法的动作的部件、包括指令的至少一个机器可读介质,所述指令当由机器执行时,使机器执行根据本文描述的实施例和示例的方法或设备或系统的动作。各种部件可以是用于执行描述的操作或功能的部件。
一个实施例提供了一种机器学习硬件加速器,其包括计算单元,所述计算单元具有在整数数据路径和浮点数据路径之间共享的加法器和乘法器,到乘法器的输入操作数的高位要在浮点操作期间被门控。在一个实施例中,加法器和乘法器可配置成执行浮点操作和整数操作。在一个实施例中,计算单元要经由乘法器和加法器执行乘-加操作。在一个实施例中,计算单元接受至少两个输入操作数。一个实施例提供计算单元以使用双输入操作数和累加值来执行乘-累加操作。一个实施例提供计算单元以使用三个输入操作数来执行乘-加操作。在一个实施例中,计算单元要在单周期内执行乘-累加操作或乘-加操作。在一个实施例中,计算单元要执行双周期乘-加操作或双周期乘-累加操作。在一个实施例中,计算单元内的乘法器要在第一周期期间产生输出,并且加法器要在第二周期期间产生输出。在一个实施例中,计算单元要执行双周期乘-累加操作,其中第一周期与第一逻辑阶段关联,第二周期与第二逻辑阶段关联,并且计算单元包括指数单元以经由第二阶段的之前周期的累加输出和来自第一阶段的乘法器输出预计算第二阶段的较大尾数和对齐移位。
在一个实施例中,整数数据路径合并到具有与乘法操作并行的加数对齐操作的浮点数据路径中。在一个实施例中,整数数据路径在乘法操作之后被合并到具有加数对齐操作的浮点数据路径中。计算单元可以具有模式输入以在整数操作和浮点操作之间切换计算单元。在一个实施例中,计算单元可配置用于8.8固定点输入和16.0固定点输出。
一个实施例提供了一种数据处理系统,所述数据处理系统包括非暂时性机器可读介质以存储用于由数据处理系统的一个或多个处理器执行的指令;以及包括机器学习硬件加速器和动态精度计算单元的通用图形处理单元,所述机器学习硬件加速器包括硬件逻辑以响应于单个指令执行多个机器学习计算操作。在一个实施例中,动态精度计算单元在整数操作和浮点操作之间可切换。在一个实施例中,动态精度计算单元包括共享乘法器和加法器的整数数据路径和浮点数据路径,其中乘法器要对整数数据路径和浮点数据路径执行乘法操作。在一个实施例中,浮点数据路径包括与乘法操作并行执行的加数对齐操作。在一个实施例中,浮点数据路径包括在乘法操作之后执行的加数对齐操作。在一个实施例中,动态精度计算单元配置用于单周期融合乘-加操作或双周期融合乘-累加操作。
一个实施例提供了一种加速机器学习操作的方法,所述方法包括获取并解码单个指令以对操作数集合执行组合的乘法和加法操作;发布用于由动态可配置计算单元执行的单个指令;配置所述计算单元的一个或多个逻辑单元来以所述操作数集合的精度和数据类型执行操作;以及在动态可配置计算单元处执行单个指令的至少一部分以基于乘法和加法操作来生成和输出。
本文描述的实施例指配置成执行某些操作或具有预定功能性的硬件(例如专用集成电路(ASIC))的特定配置。此类电子设备通常包括耦合到一个或多个其他部件的一个或多个处理器的集合,诸如一个或多个存储设备(非暂时性机器可读存储媒体)、用户输入/输出设备(例如键盘、触摸屏和/或显示器)和网络连接。处理器集合和它其他部件的耦合通常通过一个或多个总线和桥(也称为总线控制器)。携带网络业务的存储设备和信号分别表示一个或多个机器可读存储媒体和机器可读通信媒体。因此,给定电子设备的存储设备通常存储用于在该电子设备的一个或多个处理器的集合上存储用于执行的代码和/或数据。
当然,实施例的一个或多个部分可以使用软件、固件和/或硬件的不同组合来实现。遍及本详细描述,出于解释的目的,阐述了众多特定细节以便提供对本发明的透彻理解。然而,将对本领域技术人员显而易见的是,可以在没有这些特定细节中的一些的情况下实践实施例。在某些实例中,没有以详尽细节描述众所周知的结构和功能以避免模糊实施例的发明主题。相应地,本发明的范围和精神应该根据跟着的权利要求来判断。

Claims (25)

1.一种图形处理单元,包括:
具有单指令多线程(SIMT)架构的多处理器,所述多处理器用于跨所述多处理器的多个线程执行至少一个单个指令;以及
包括在所述多处理器内的第一计算单元,所述至少一个单个指令使得所述第一计算单元执行二维矩阵乘法累加操作,其中,执行所述二维矩阵乘法累加操作包括计算16位操作数的中间乘积并且基于所述中间乘积来计算32位和;
其中,为了基于所述中间乘积来计算32位和,所述第一计算单元被配置为:
执行两个或更多个16位操作数的浮点乘法,以生成所述中间乘积,其中,所述中间乘积大于16位但小于32位;
基于所述中间乘积来计算和,以生成中间和;以及
通过将所述中间和转换成32位结果来计算所述32位和,其中,所述转换包括减少尾数位数。
2.如权利要求1所述的图形处理单元,所述多处理器用于执行线程组的并行线程,所述线程组中的每个线程具有独立的线程状态。
3.如权利要求2所述的图形处理单元,所述多处理器包括调度器,其用于将所述线程组的并行线程调度到所述多处理器内的多个计算单元。
4.如权利要求3所述的图形处理单元,所述多处理器内的多个计算单元包括用于执行整数操作的第二计算单元,所述调度器用于将浮点操作调度到所述第一计算单元并且将整数操作调度到所述第二计算单元,其中,所述多处理器用于同时在所述第一计算单元上执行浮点操作并且在所述第二计算单元上执行整数操作。
5.如权利要求4所述的图形处理单元,其中,所述多处理器用于同时以第一精度在所述第一计算单元上执行第一浮点操作并且以第二精度执行第二浮点操作。
6.如权利要求1所述的图形处理单元,所述第一计算单元还包括一个或多个移位器,其用于归一化或对齐中间结果。
7.如权利要求6所述的图形处理单元,所述第一计算单元还能配置为通过将所述中间和转换成16位结果来计算16位和。
8.如权利要求7所述的图形处理单元,所述16位结果包括5位指数或8位指数。
9.一种数据处理系统,包括:
图形处理单元,其包括具有单指令多线程(SIMT)架构的多处理器,所述多处理器用于跨所述多处理器的多个线程执行至少一个单个指令;
包括在所述多处理器内的第一计算单元,所述至少一个单个指令使得所述第一计算单元执行二维矩阵乘法累加操作,其中,执行所述二维矩阵乘法累加操作包括计算16位操作数的中间乘积并且基于所述中间乘积来计算32位和;以及
存储器,其与所述图形处理单元通信地耦合;
其中,为了基于所述中间乘积来计算32位和,所述第一计算单元被配置为:
执行两个或更多个16位操作数的浮点乘法,以生成所述中间乘积,其中,所述中间乘积大于16位但小于32位;
基于所述中间乘积来计算和,以生成中间和;以及
通过将所述中间和转换成32位结果来计算所述32位和,其中,所述转换包括减少尾数位数。
10.如权利要求9所述的数据处理系统,所述多处理器用于执行线程组的并行线程,所述线程组中的每个线程具有独立的线程状态。
11.如权利要求10所述的数据处理系统,所述多处理器包括调度器,其用于将所述并行线程调度到所述多处理器内的多个计算单元。
12.如权利要求11所述的图形处理系统,所述多处理器内的多个计算单元包括用于执行整数操作的第二计算单元,所述调度器用于将浮点操作调度到所述第一计算单元并且将整数操作调度到所述第二计算单元,其中,所述多处理器用于同时在所述第一计算单元上执行浮点操作并且在所述第二计算单元上执行整数操作。
13.如权利要求12所述的图形处理系统,所述多处理器用于同时在所述第一计算单元上以第一精度执行第一浮点操作并且以第二精度执行第二浮点操作。
14.如权利要求9所述的图形处理系统,所述第一计算单元还包括一个或多个移位器,其用于归一化或对齐中间结果。
15.如权利要求14所述的图形处理系统,所述第一计算单元还能配置为通过将所述中间和转换成16位结果来计算16位和。
16.如权利要求15所述的图形处理系统,所述16位结果包括5位指数或8位指数。
17.一种方法,包括:
在图形处理单元(GPU)上解码单个指令,所述GPU具有单指令多线程(SIMT)架构;
通过所述GPU内的多处理器执行所述单个指令,所述单个指令跨所述多处理器的多个线程而执行;以及
响应于通过所述多处理器执行所述单个指令,在所述多处理器的第一计算单元上执行二维矩阵乘法累加操作,其中,执行所述二维矩阵乘法累加操作包括计算16位操作数的中间乘积并且基于所述中间乘积来计算32位和,其中,计算所述中间乘积包括:
执行两个或更多个16位操作数的浮点乘法,以生成所述中间乘积,其中,所述中间乘积大于16位但小于32位;
基于所述中间乘积来计算和,以生成中间和;以及
通过将所述中间和转换成32位结果来计算所述32位和,其中,所述转换包括减少尾数位数。
18.如权利要求17所述的方法,还包括:执行线程组的并行线程,所述线程组中的每个线程具有独立的线程状态。
19.如权利要求18所述的方法,还包括:将所述线程组的并行线程调度到所述多处理器内的多个计算单元。
20.如权利要求19所述的方法,还包括:
将浮点操作调度到所述第一计算单元并且将整数操作调度到第二计算单元;以及
与在所述第一计算单元上的所述浮点操作同时地,通过所述多处理器内的第二计算单元执行所述整数操作。
21.如权利要求20所述的方法,还包括:
同时在所述第一计算单元上以第一精度执行第一浮点操作并且以第二精度执行第二浮点操作。
22.如权利要求17所述的方法,还包括:
通过将所述中间和转换成16位结果来计算16位和。
23.如权利要求22所述的方法,所述16位结果包括5位指数或8位指数。
24.一种机器可读介质,其存储代码,所述代码当被执行时使得机器执行权利要求17至23中的任一方法。
25.一种装置,包括用于执行权利要求17至23中的任一方法的模块。
CN202211446828.0A 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑 Pending CN115826916A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762491699P 2017-04-28 2017-04-28
US62/491,699 2017-04-28
US15/787,129 2017-10-18
US15/787,129 US10474458B2 (en) 2017-04-28 2017-10-18 Instructions and logic to perform floating-point and integer operations for machine learning
CN201810394160.7A CN108804077A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201810394160.7A Division CN108804077A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑

Publications (1)

Publication Number Publication Date
CN115826916A true CN115826916A (zh) 2023-03-21

Family

ID=61827531

Family Applications (9)

Application Number Title Priority Date Filing Date
CN202110906984.XA Active CN113672197B (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN202110250102.9A Pending CN112947893A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN202010498935.2A Active CN111666066B (zh) 2017-04-28 2018-04-27 加速机器学习操作的方法、图形处理单元和数据处理系统
CN202110256528.5A Active CN112947894B (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN202210949334.8A Pending CN115185484A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN202211446828.0A Pending CN115826916A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN202310795238.7A Pending CN116755656A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN201810394160.7A Pending CN108804077A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN202011533036.8A Pending CN112527243A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑

Family Applications Before (5)

Application Number Title Priority Date Filing Date
CN202110906984.XA Active CN113672197B (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN202110250102.9A Pending CN112947893A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN202010498935.2A Active CN111666066B (zh) 2017-04-28 2018-04-27 加速机器学习操作的方法、图形处理单元和数据处理系统
CN202110256528.5A Active CN112947894B (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN202210949334.8A Pending CN115185484A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑

Family Applications After (3)

Application Number Title Priority Date Filing Date
CN202310795238.7A Pending CN116755656A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN201810394160.7A Pending CN108804077A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN202011533036.8A Pending CN112527243A (zh) 2017-04-28 2018-04-27 用来执行用于机器学习的浮点和整数操作的指令和逻辑

Country Status (6)

Country Link
US (9) US10474458B2 (zh)
EP (9) EP3637247B1 (zh)
CN (9) CN113672197B (zh)
ES (4) ES2925598T3 (zh)
PL (4) PL3637247T3 (zh)
TW (6) TWI793685B (zh)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11037330B2 (en) * 2017-04-08 2021-06-15 Intel Corporation Low rank matrix compression
US10474458B2 (en) 2017-04-28 2019-11-12 Intel Corporation Instructions and logic to perform floating-point and integer operations for machine learning
US10338919B2 (en) 2017-05-08 2019-07-02 Nvidia Corporation Generalized acceleration of matrix multiply accumulate operations
DE102018110607A1 (de) 2017-05-08 2018-11-08 Nvidia Corporation Verallgemeinerte Beschleunigung von Matrix-Multiplikations-und-Akkumulations-Operationen
CN108228696B (zh) * 2017-08-31 2021-03-23 深圳市商汤科技有限公司 人脸图像检索方法和系统、拍摄装置、计算机存储介质
US11216250B2 (en) * 2017-12-06 2022-01-04 Advanced Micro Devices, Inc. Dynamic, variable bit-width numerical precision on field-programmable gate arrays for machine learning tasks
US11048644B1 (en) * 2017-12-11 2021-06-29 Amazon Technologies, Inc. Memory mapping in an access device for non-volatile memory
US10671147B2 (en) * 2017-12-18 2020-06-02 Facebook, Inc. Dynamic power management for artificial intelligence hardware accelerators
US10474430B2 (en) * 2017-12-29 2019-11-12 Facebook, Inc. Mixed-precision processing elements, systems, and methods for computational models
KR102637735B1 (ko) * 2018-01-09 2024-02-19 삼성전자주식회사 근사 곱셈기를 구비하는 뉴럴 네트워크 처리 장치 및 이를 포함하는 시스템온 칩
US10311861B1 (en) * 2018-01-15 2019-06-04 Gyrfalcon Technology Inc. System and method for encoding data in a voice recognition integrated circuit solution
CN108388446A (zh) * 2018-02-05 2018-08-10 上海寒武纪信息科技有限公司 运算模块以及方法
US11537870B1 (en) * 2018-02-07 2022-12-27 Perceive Corporation Training sparse networks with discrete weight values
US11514306B1 (en) * 2018-03-14 2022-11-29 Meta Platforms, Inc. Static memory allocation in neural networks
US11216732B2 (en) * 2018-05-31 2022-01-04 Neuralmagic Inc. Systems and methods for generation of sparse code for convolutional neural networks
US10684824B2 (en) * 2018-06-06 2020-06-16 Nvidia Corporation Stochastic rounding of numerical values
US10803141B2 (en) * 2018-07-05 2020-10-13 Gsi Technology Inc. In-memory stochastic rounder
US10769310B2 (en) * 2018-07-20 2020-09-08 Nxp B.V. Method for making a machine learning model more difficult to copy
US10636484B2 (en) * 2018-09-12 2020-04-28 Winbond Electronics Corporation Circuit and method for memory operation
US11455766B2 (en) * 2018-09-18 2022-09-27 Advanced Micro Devices, Inc. Variable precision computing system
US10922203B1 (en) * 2018-09-21 2021-02-16 Nvidia Corporation Fault injection architecture for resilient GPU computing
US10853067B2 (en) * 2018-09-27 2020-12-01 Intel Corporation Computer processor for higher precision computations using a mixed-precision decomposition of operations
US11468291B2 (en) 2018-09-28 2022-10-11 Nxp B.V. Method for protecting a machine learning ensemble from copying
US20200125991A1 (en) * 2018-10-18 2020-04-23 Facebook, Inc. Optimization of neural networks using hardware calculation efficiency
US11366663B2 (en) * 2018-11-09 2022-06-21 Intel Corporation Systems and methods for performing 16-bit floating-point vector dot product instructions
CN109710211B (zh) * 2018-11-15 2021-03-19 珠海市杰理科技股份有限公司 浮点数据类型转换方法、装置、存储介质及计算机设备
US11568235B2 (en) * 2018-11-19 2023-01-31 International Business Machines Corporation Data driven mixed precision learning for neural networks
US11449268B2 (en) * 2018-11-20 2022-09-20 Samsung Electronics Co., Ltd. Deep solid state device (deep-SSD): a neural network based persistent data storage
US11520561B1 (en) * 2018-11-28 2022-12-06 Amazon Technologies, Inc. Neural network accelerator with compact instruct set
CN111258641B (zh) * 2018-11-30 2022-12-09 上海寒武纪信息科技有限公司 运算方法、装置及相关产品
CN109754084B (zh) * 2018-12-29 2020-06-12 中科寒武纪科技股份有限公司 网络结构的处理方法、装置及相关产品
CN109739555B (zh) * 2019-01-04 2023-06-16 腾讯科技(深圳)有限公司 包括乘累加模块的芯片、终端及控制方法
US10963219B2 (en) * 2019-02-06 2021-03-30 International Business Machines Corporation Hybrid floating point representation for deep learning acceleration
US11651192B2 (en) * 2019-02-12 2023-05-16 Apple Inc. Compressed convolutional neural network models
US11074100B2 (en) * 2019-02-27 2021-07-27 Micron Technology, Inc. Arithmetic and logical operations in a multi-user network
US11768664B2 (en) * 2019-03-15 2023-09-26 Advanced Micro Devices, Inc. Processing unit with mixed precision operations
US10884736B1 (en) * 2019-03-15 2021-01-05 Cadence Design Systems, Inc. Method and apparatus for a low energy programmable vector processing unit for neural networks backend processing
DE112020000850T5 (de) 2019-03-15 2022-01-20 Intel Corporation Cache-Struktur und -Nutzung
US11934342B2 (en) 2019-03-15 2024-03-19 Intel Corporation Assistance for hardware prefetch in cache access
DE112020001249T5 (de) 2019-03-15 2021-12-23 Intel Corporation Dünnbesetzt-Optimierungen für eine Matrixbeschleunigerarchitektur
EP4130988A1 (en) 2019-03-15 2023-02-08 INTEL Corporation Systems and methods for cache optimization
US10853129B1 (en) * 2019-03-19 2020-12-01 Amazon Technologies, Inc. Accelerator based inference service
CN111767980B (zh) * 2019-04-02 2024-03-05 杭州海康威视数字技术股份有限公司 模型优化方法、装置及设备
CN110334801A (zh) * 2019-05-09 2019-10-15 苏州浪潮智能科技有限公司 一种卷积神经网络的硬件加速方法、装置、设备及系统
US11288040B2 (en) 2019-06-07 2022-03-29 Intel Corporation Floating-point dot-product hardware with wide multiply-adder tree for machine learning accelerators
FR3097993B1 (fr) * 2019-06-25 2021-10-22 Kalray Opérateur de produit scalaire de nombres à virgule flottante réalisant un arrondi correct
US11479263B2 (en) * 2019-06-25 2022-10-25 Marvell Asia Pte Ltd Automotive network switch with anomaly detection
FR3097992B1 (fr) 2019-06-25 2021-06-25 Kalray Opérateur d’addition et multiplication fusionnées pour nombres à virgule flottante de précision mixte réalisant un arrondi correct
EP3764286A1 (fr) * 2019-07-10 2021-01-13 STMicroelectronics (Rousset) SAS Procédé et outil informatique de détermination de fonctions de transferts entre des paires de couches successives d'un réseau de neurones
CN110399972B (zh) * 2019-07-22 2021-05-25 上海商汤智能科技有限公司 数据处理方法、装置及电子设备
US11704231B2 (en) * 2019-07-26 2023-07-18 Microsoft Technology Licensing, Llc Techniques for conformance testing computational operations
CN112394997A (zh) * 2019-08-13 2021-02-23 上海寒武纪信息科技有限公司 八位整形转半精度浮点指令处理装置、方法及相关产品
CN110503195A (zh) * 2019-08-14 2019-11-26 北京中科寒武纪科技有限公司 利用人工智能处理器执行任务的方法及其相关产品
CN110598172B (zh) * 2019-08-22 2022-10-25 瑞芯微电子股份有限公司 一种基于csa加法器的卷积运算方法和电路
EP4024280A4 (en) * 2019-08-27 2022-11-16 Anhui Cambricon Information Technology Co., Ltd. DATA PROCESSING METHOD AND APPARATUS, COMPUTER EQUIPMENT AND STORAGE MEDIA
US11842169B1 (en) * 2019-09-25 2023-12-12 Amazon Technologies, Inc. Systolic multiply delayed accumulate processor architecture
US20210089316A1 (en) * 2019-09-25 2021-03-25 Intel Corporation Deep learning implementations using systolic arrays and fused operations
US11663444B2 (en) 2019-09-27 2023-05-30 Microsoft Technology Licensing, Llc Pipelined neural network processing with continuous and asynchronous updates
US11676010B2 (en) * 2019-10-14 2023-06-13 Micron Technology, Inc. Memory sub-system with a bus to transmit data for a machine learning operation and another bus to transmit host data
CN110764733B (zh) * 2019-10-15 2023-06-30 天津津航计算技术研究所 一种基于fpga的多种分布随机数生成装置
US11288220B2 (en) 2019-10-18 2022-03-29 Achronix Semiconductor Corporation Cascade communications between FPGA tiles
CN112783520A (zh) * 2019-11-04 2021-05-11 阿里巴巴集团控股有限公司 执行方法、装置、电子设备及存储介质
CN110888623B (zh) * 2019-11-25 2021-11-23 集美大学 数据转换方法、乘法器、加法器、终端设备及存储介质
US11816446B2 (en) * 2019-11-27 2023-11-14 Amazon Technologies, Inc. Systolic array component combining multiple integer and floating-point data types
US11467806B2 (en) 2019-11-27 2022-10-11 Amazon Technologies, Inc. Systolic array including fused multiply accumulate with efficient prenormalization and extended dynamic range
JP6810437B1 (ja) * 2019-11-27 2021-01-06 株式会社コアコンセプト・テクノロジー 情報処理装置、プログラム、及び情報処理方法
TWI774110B (zh) * 2019-11-29 2022-08-11 凌華科技股份有限公司 適於工業自動化設備之共享記憶體的資料分發服務之系統及其運作方法
US11282192B2 (en) * 2019-12-19 2022-03-22 Varian Medical Systems International Ag Training deep learning engines for radiotherapy treatment planning
CN111186139B (zh) * 2019-12-25 2022-03-15 西北工业大学 一种3d打印模型的多层次并行切片方法
US11861492B1 (en) * 2019-12-26 2024-01-02 Cadence Design Systems, Inc. Quantizing trained neural networks with removal of normalization
CN111242293B (zh) * 2020-01-13 2023-07-18 腾讯科技(深圳)有限公司 一种处理部件、数据处理的方法以及电子设备
US11922292B2 (en) 2020-01-27 2024-03-05 Google Llc Shared scratchpad memory with parallel load-store
US20210241080A1 (en) * 2020-02-05 2021-08-05 Macronix International Co., Ltd. Artificial intelligence accelerator and operation thereof
US11360772B2 (en) 2020-03-31 2022-06-14 International Business Machines Corporation Instruction sequence merging and splitting for optimized accelerator implementation
JP6896306B1 (ja) * 2020-04-13 2021-06-30 LeapMind株式会社 ニューラルネットワーク回路、エッジデバイスおよびニューラルネットワーク演算方法
CN111582465B (zh) * 2020-05-08 2023-04-07 中国科学院上海高等研究院 基于fpga的卷积神经网络加速处理系统、方法以及终端
US11422773B1 (en) 2020-06-29 2022-08-23 Amazon Technologies, Inc. Multiple busses within a systolic array processing element
US11308027B1 (en) 2020-06-29 2022-04-19 Amazon Technologies, Inc. Multiple accumulate busses in a systolic array
US11113233B1 (en) 2020-06-29 2021-09-07 Amazon Technologies, Inc. Multiple busses in a grouped systolic array
US11232062B1 (en) 2020-06-29 2022-01-25 Amazon Technologies, Inc. Parallelism within a systolic array using multiple accumulate busses
US11308026B1 (en) 2020-06-29 2022-04-19 Amazon Technologies, Inc. Multiple busses interleaved in a systolic array
JP2022016795A (ja) * 2020-07-13 2022-01-25 富士通株式会社 情報処理装置、情報処理プログラムおよび情報処理方法
CN111930342B (zh) * 2020-09-15 2021-01-19 浙江大学 一种针对规格化浮点数的误差无偏近似乘法器及其实现方法
CN112784969B (zh) * 2021-02-01 2024-05-14 东北大学 用于图像特征提取的卷积神经网络加速学习方法
CN112579519B (zh) * 2021-03-01 2021-05-25 湖北芯擎科技有限公司 数据运算电路和处理芯片
TWI778537B (zh) * 2021-03-05 2022-09-21 國立臺灣科技大學 神經網路加速單元的動態設計方法
US11880682B2 (en) 2021-06-30 2024-01-23 Amazon Technologies, Inc. Systolic array with efficient input reduction and extended array performance
CN113535637B (zh) * 2021-07-20 2022-11-15 珠海市一微星科技有限公司 一种运算加速单元及其运行方法
CN113535638B (zh) * 2021-07-20 2022-11-15 珠海市一微星科技有限公司 一种并行运算加速系统及其运行方法
US20230065528A1 (en) * 2021-08-31 2023-03-02 Samsung Electronics Co., Ltd. Apparatus and method with multi-format data support
KR20230063791A (ko) * 2021-11-02 2023-05-09 리벨리온 주식회사 인공지능 코어, 인공지능 코어 시스템 및 인공지능 코어 시스템의 로드/스토어 방법
US20230205488A1 (en) * 2021-12-23 2023-06-29 Samsung Electronics Co., Ltd. Efficient circuit for neural network processing
WO2023212390A1 (en) * 2022-04-29 2023-11-02 University Of Southern California Neural network methods
GB2621196A (en) * 2022-08-01 2024-02-07 Advanced Risc Mach Ltd Broadcasting machine learning data
US20240231910A9 (en) * 2022-10-19 2024-07-11 Mediatek Inc. Optimization of Scratchpad Memory Allocation for Heterogeneous Devices Using A Cooperative Compiler Framework
CN117132450B (zh) * 2023-10-24 2024-02-20 芯动微电子科技(武汉)有限公司 一种可实现数据共享的计算装置和图形处理器
CN117492693B (zh) * 2024-01-03 2024-03-22 沐曦集成电路(上海)有限公司 一种用于滤波器的浮点数据处理系统
CN117850882B (zh) * 2024-03-07 2024-05-24 北京壁仞科技开发有限公司 单指令多线程的处理装置及方法
CN117931123B (zh) * 2024-03-25 2024-06-14 中科亿海微电子科技(苏州)有限公司 一种应用于fpga的低功耗可变精度嵌入式dsp硬核结构

Family Cites Families (407)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE568342A (zh) 1957-06-07
US3872442A (en) * 1972-12-14 1975-03-18 Sperry Rand Corp System for conversion between coded byte and floating point format
US4476523A (en) 1981-06-11 1984-10-09 Data General Corporation Fixed point and floating point computation units using commonly shared control fields
US4852048A (en) * 1985-12-12 1989-07-25 Itt Corporation Single instruction multiple data (SIMD) cellular array processing apparatus employing a common bus where a first number of bits manifest a first bus portion and a second number of bits manifest a second bus portion
US4823252A (en) 1986-03-28 1989-04-18 Tandem Computers Incorporated Overlapped control store
US4823260A (en) 1987-11-12 1989-04-18 Intel Corporation Mixed-precision floating point operations from a single instruction opcode
US5268856A (en) * 1988-06-06 1993-12-07 Applied Intelligent Systems, Inc. Bit serial floating point parallel processing system and method
US5182801A (en) 1989-06-09 1993-01-26 Digital Equipment Corporation Apparatus and method for providing fast data transfer between multiple devices through dynamic reconfiguration of the memory space of the devices
JP2581236B2 (ja) 1989-11-16 1997-02-12 三菱電機株式会社 データ処理装置
JP2682232B2 (ja) * 1990-11-21 1997-11-26 松下電器産業株式会社 浮動小数点演算処理装置
US5381539A (en) 1992-06-04 1995-01-10 Emc Corporation System and method for dynamically controlling cache management
GB9307359D0 (en) 1993-04-08 1993-06-02 Int Computers Ltd Cache replacement mechanism
US5450607A (en) * 1993-05-17 1995-09-12 Mips Technologies Inc. Unified floating point and integer datapath for a RISC processor
US5574928A (en) 1993-10-29 1996-11-12 Advanced Micro Devices, Inc. Mixed integer/floating point processor core for a superscalar microprocessor with a plurality of operand buses for transferring operand segments
US5623636A (en) 1993-11-09 1997-04-22 Motorola Inc. Data processing system and method for providing memory access protection using transparent translation registers and default attribute bits
US5627985A (en) * 1994-01-04 1997-05-06 Intel Corporation Speculative and committed resource files in an out-of-order processor
US5673407A (en) 1994-03-08 1997-09-30 Texas Instruments Incorporated Data processor having capability to perform both floating point operations and memory access in response to a single instruction
GB2296155B (en) 1994-06-22 1997-04-23 Microsoft Corp Data decompression circuit
US5805475A (en) * 1995-02-10 1998-09-08 International Business Machines Corporation Load-store unit and method of loading and storing single-precision floating-point registers in a double-precision architecture
US5651137A (en) 1995-04-12 1997-07-22 Intel Corporation Scalable cache attributes for an input/output bus
US5983257A (en) * 1995-12-26 1999-11-09 Intel Corporation System for signal processing using multiply-add operations
US5940311A (en) * 1996-04-30 1999-08-17 Texas Instruments Incorporated Immediate floating-point operand reformatting in a microprocessor
US5917741A (en) * 1996-08-29 1999-06-29 Intel Corporation Method and apparatus for performing floating-point rounding operations for multiple precisions using incrementers
JP3790307B2 (ja) * 1996-10-16 2006-06-28 株式会社ルネサステクノロジ データプロセッサ及びデータ処理システム
US5887160A (en) 1996-12-10 1999-03-23 Fujitsu Limited Method and apparatus for communicating integer and floating point data over a shared data path in a single instruction pipeline processor
US5880984A (en) * 1997-01-13 1999-03-09 International Business Machines Corporation Method and apparatus for performing high-precision multiply-add calculations using independent multiply and add instruments
US6078940A (en) 1997-01-24 2000-06-20 Texas Instruments Incorporated Microprocessor with an instruction for multiply and left shift with saturate
US5943687A (en) 1997-03-14 1999-08-24 Telefonakiebolaget Lm Ericsson Penalty-based cache storage and replacement techniques
US5926406A (en) * 1997-04-30 1999-07-20 Hewlett-Packard, Co. System and method for calculating floating point exponential values in a geometry accelerator
US6092149A (en) 1997-05-28 2000-07-18 Western Digital Corporation Disk drive cache system using a dynamic priority sequential stream of data segments continuously adapted according to prefetched sequential random, and repeating types of accesses
US6144980A (en) * 1998-01-28 2000-11-07 Advanced Micro Devices, Inc. Method and apparatus for performing multiple types of multiplication including signed and unsigned multiplication
US7102646B1 (en) 1997-11-25 2006-09-05 Nvidia U.S. Investment Company Demand-based memory system for graphics applications
US6856320B1 (en) 1997-11-25 2005-02-15 Nvidia U.S. Investment Company Demand-based memory system for graphics applications
US6253311B1 (en) * 1997-11-29 2001-06-26 Jp First Llc Instruction set for bi-directional conversion and transfer of integer and floating point data
US6049865A (en) * 1997-12-18 2000-04-11 Motorola, Inc. Method and apparatus for implementing floating point projection instructions
US6260008B1 (en) * 1998-01-08 2001-07-10 Sharp Kabushiki Kaisha Method of and system for disambiguating syntactic word multiples
US6591084B1 (en) * 1998-04-27 2003-07-08 General Dynamics Decision Systems, Inc. Satellite based data transfer and delivery system
US6115729A (en) * 1998-08-20 2000-09-05 Arm Limited Floating point multiply-accumulate unit
US6728839B1 (en) 1998-10-28 2004-04-27 Cisco Technology, Inc. Attribute based memory pre-fetching technique
US6480872B1 (en) * 1999-01-21 2002-11-12 Sandcraft, Inc. Floating-point and integer multiply-add and multiply-accumulate
US7941647B2 (en) * 1999-01-28 2011-05-10 Ati Technologies Ulc Computer for executing two instruction sets and adds a macroinstruction end marker for performing iterations after loop termination
WO2000048080A1 (en) * 1999-02-12 2000-08-17 Mips Technologies, Inc. Processor having a compare extension of an instruction set architecture
US6529928B1 (en) * 1999-03-23 2003-03-04 Silicon Graphics, Inc. Floating-point adder performing floating-point and integer operations
US6788738B1 (en) * 1999-05-07 2004-09-07 Xilinx, Inc. Filter accelerator for a digital signal processor
US6205462B1 (en) * 1999-10-06 2001-03-20 Cradle Technologies Digital multiply-accumulate circuit that can operate on both integer and floating point numbers simultaneously
US6631437B1 (en) 2000-04-06 2003-10-07 Hewlett-Packard Development Company, L.P. Method and apparatus for promoting memory read commands
US6578102B1 (en) 2000-04-18 2003-06-10 International Business Machines Corporation Tracking and control of prefetch data in a PCI bus system
US6412046B1 (en) 2000-05-01 2002-06-25 Hewlett Packard Company Verification of cache prefetch mechanism
US7499053B2 (en) 2000-06-19 2009-03-03 Mental Images Gmbh Real-time precision ray tracing
US8188997B2 (en) 2000-06-19 2012-05-29 Mental Images Gmbh Accelerated ray tracing using shallow bounding volume hierarchies
US6678806B1 (en) 2000-08-23 2004-01-13 Chipwrights Design, Inc. Apparatus and method for using tagged pointers for extract, insert and format operations
US6792509B2 (en) 2001-04-19 2004-09-14 International Business Machines Corporation Partitioned cache of multiple logical levels with adaptive reconfiguration based on multiple criteria
US6748495B2 (en) 2001-05-15 2004-06-08 Broadcom Corporation Random generator
US6947049B2 (en) 2001-06-01 2005-09-20 Nvidia Corporation Method and system for synchronizing updates of vertex data with a graphics processor that is fetching vertex data
US6963954B1 (en) 2001-09-19 2005-11-08 Cisco Technology, Inc. Method and apparatus for optimizing prefetching based on memory addresses
US7127482B2 (en) * 2001-11-19 2006-10-24 Intel Corporation Performance optimized approach for efficient downsampling operations
US6598120B1 (en) 2002-03-08 2003-07-22 International Business Machines Corporation Assignment of building block collector agent to receive acknowledgments from other building block agents
US20030204840A1 (en) 2002-04-30 2003-10-30 Youfeng Wu Apparatus and method for one-pass profiling to concurrently generate a frequency profile and a stride profile to enable data prefetching in irregular programs
US7225216B1 (en) * 2002-07-09 2007-05-29 Nvidia Corporation Method and system for a floating point multiply-accumulator
US7197605B2 (en) 2002-12-30 2007-03-27 Intel Corporation Allocating cache lines
US7483031B2 (en) 2003-04-17 2009-01-27 Nvidia Corporation Method for synchronizing graphics processing units
US7373369B2 (en) * 2003-06-05 2008-05-13 International Business Machines Corporation Advanced execution of extended floating-point add operations in a narrow dataflow
CN1584821A (zh) * 2003-08-19 2005-02-23 中国科学院微电子中心 并行处理的可分割的乘法累加单元
US7272624B2 (en) * 2003-09-30 2007-09-18 International Business Machines Corporation Fused booth encoder multiplexer
JP3807400B2 (ja) 2003-10-30 2006-08-09 ソニー株式会社 記録制御装置および記録制御方法
GB2409068A (en) 2003-12-09 2005-06-15 Advanced Risc Mach Ltd Data element size control within parallel lanes of processing
KR100800468B1 (ko) * 2004-01-29 2008-02-01 삼성전자주식회사 저전력 고속 동작을 위한 하드웨어 암호화/복호화 장치 및그 방법
US8253750B1 (en) 2004-02-14 2012-08-28 Nvidia Corporation Digital media processor
US7873812B1 (en) 2004-04-05 2011-01-18 Tibet MIMAR Method and system for efficient matrix multiplication in a SIMD processor architecture
US7548892B2 (en) 2004-04-30 2009-06-16 Microsoft Corporation Processing machine learning techniques using a graphics processing unit
US7428566B2 (en) * 2004-11-10 2008-09-23 Nvidia Corporation Multipurpose functional unit with multiply-add and format conversion pipeline
US20060101244A1 (en) * 2004-11-10 2006-05-11 Nvidia Corporation Multipurpose functional unit with combined integer and floating-point multiply-add pipeline
US20060179092A1 (en) * 2005-02-10 2006-08-10 Schmookler Martin S System and method for executing fixed point divide operations using a floating point multiply-add pipeline
US20060248279A1 (en) 2005-05-02 2006-11-02 Al-Sukhni Hassan F Prefetching across a page boundary
US7346741B1 (en) 2005-05-10 2008-03-18 Sun Microsystems, Inc. Memory latency of processors with configurable stride based pre-fetching technique
WO2006120664A2 (en) * 2005-05-13 2006-11-16 Provost Fellows And Scholars Of The College Of The Holy And Undivided Trinity Of Queen Elizabeth Near Dublin A data processing system and method
US8250348B2 (en) * 2005-05-19 2012-08-21 International Business Machines Corporation Methods and apparatus for dynamically switching processor mode
US7861055B2 (en) 2005-06-07 2010-12-28 Broadcom Corporation Method and system for on-chip configurable data ram for fast memory and pseudo associative caches
US7659899B2 (en) 2005-08-08 2010-02-09 Via Technologies, Inc. System and method to manage data processing stages of a logical graphics pipeline
US20070030277A1 (en) 2005-08-08 2007-02-08 Via Technologies, Inc. Method for processing vertex, triangle, and pixel graphics data packets
US20070198815A1 (en) * 2005-08-11 2007-08-23 Coresonic Ab Programmable digital signal processor having a clustered SIMD microarchitecture including a complex short multiplier and an independent vector load unit
US20070074008A1 (en) * 2005-09-28 2007-03-29 Donofrio David D Mixed mode floating-point pipeline with extended functions
US8004531B2 (en) 2005-10-14 2011-08-23 Via Technologies, Inc. Multiple graphics processor systems and methods
CN103646009B (zh) * 2006-04-12 2016-08-17 索夫特机械公司 对载明并行和依赖运算的指令矩阵进行处理的装置和方法
US7616206B1 (en) 2006-06-16 2009-11-10 Nvidia Corporation Efficient multi-chip GPU
US8146066B2 (en) * 2006-06-20 2012-03-27 Google Inc. Systems and methods for caching compute kernels for an application running on a parallel-processing computer system
US7467280B2 (en) 2006-07-05 2008-12-16 International Business Machines Corporation Method for reconfiguring cache memory based on at least analysis of heat generated during runtime, at least by associating an access bit with a cache line and associating a granularity bit with a cache line in level-2 cache
US20080030510A1 (en) 2006-08-02 2008-02-07 Xgi Technology Inc. Multi-GPU rendering system
US7620793B1 (en) 2006-08-28 2009-11-17 Nvidia Corporation Mapping memory partitions to virtual memory pages
US20080071851A1 (en) 2006-09-20 2008-03-20 Ronen Zohar Instruction and logic for performing a dot-product operation
US7327289B1 (en) 2006-09-20 2008-02-05 Intel Corporation Data-modifying run length encoder to avoid data expansion
US8122078B2 (en) 2006-10-06 2012-02-21 Calos Fund, LLC Processor with enhanced combined-arithmetic capability
US20080086598A1 (en) 2006-10-10 2008-04-10 Maron William A System and method for establishing cache priority for critical data structures of an application
US20080189487A1 (en) 2007-02-06 2008-08-07 Arm Limited Control of cache transactions
US8781110B2 (en) * 2007-06-30 2014-07-15 Intel Corporation Unified system architecture for elliptic-curve cryptography
US7783859B2 (en) 2007-07-12 2010-08-24 Qnx Software Systems Gmbh & Co. Kg Processing system implementing variable page size memory organization
US8990505B1 (en) 2007-09-21 2015-03-24 Marvell International Ltd. Cache memory bank selection
DE112008003643A5 (de) * 2007-11-17 2010-10-28 Krass, Maren Rekonfigurierbare Fliesskomma- und Bit- ebenen Datenverarbeitungseinheit
US8106914B2 (en) 2007-12-07 2012-01-31 Nvidia Corporation Fused multiply-add functional unit
US7941633B2 (en) 2007-12-18 2011-05-10 International Business Machines Corporation Hash optimization system and method
KR20090071823A (ko) * 2007-12-28 2009-07-02 한국과학기술원 다기능 연산장치 및 방법
US7870339B2 (en) 2008-01-11 2011-01-11 International Business Machines Corporation Extract cache attribute facility and instruction therefore
US20090190432A1 (en) 2008-01-28 2009-07-30 Christoph Bilger DRAM with Page Access
US8429351B1 (en) 2008-03-28 2013-04-23 Emc Corporation Techniques for determining an amount of data to prefetch
US8146064B2 (en) 2008-04-04 2012-03-27 International Business Machines Corporation Dynamically controlling a prefetching range of a software controlled cache
US9678775B1 (en) * 2008-04-09 2017-06-13 Nvidia Corporation Allocating memory for local variables of a multi-threaded program for execution in a single-threaded environment
US8633936B2 (en) 2008-04-21 2014-01-21 Qualcomm Incorporated Programmable streaming processor with mixed precision instruction execution
US8078833B2 (en) * 2008-05-29 2011-12-13 Axis Semiconductor, Inc. Microprocessor with highly configurable pipeline and executional unit internal hierarchal structures, optimizable for different types of computational functions
US7945768B2 (en) * 2008-06-05 2011-05-17 Motorola Mobility, Inc. Method and apparatus for nested instruction looping using implicit predicates
US8340280B2 (en) * 2008-06-13 2012-12-25 Intel Corporation Using a single instruction multiple data (SIMD) instruction to speed up galois counter mode (GCM) computations
US8219757B2 (en) 2008-09-30 2012-07-10 Intel Corporation Apparatus and method for low touch cache management
US8041856B2 (en) 2008-09-30 2011-10-18 Lsi Corporation Skip based control logic for first in first out buffer
US8290882B2 (en) * 2008-10-09 2012-10-16 Microsoft Corporation Evaluating decision trees on a GPU
US20100162247A1 (en) 2008-12-19 2010-06-24 Adam Welc Methods and systems for transactional nested parallelism
US8645634B1 (en) * 2009-01-16 2014-02-04 Nvidia Corporation Zero-copy data sharing by cooperating asymmetric coprocessors
US20100185816A1 (en) 2009-01-21 2010-07-22 Sauber William F Multiple Cache Line Size
US8266409B2 (en) 2009-03-03 2012-09-11 Qualcomm Incorporated Configurable cache and method to configure same
US8655937B1 (en) * 2009-04-29 2014-02-18 Nvidia Corporation High precision integer division using low precision hardware operations and rounding techniques
US8108612B2 (en) 2009-05-15 2012-01-31 Microsoft Corporation Location updates for a distributed data store
US8566801B2 (en) 2009-05-22 2013-10-22 International Business Machines Corporation Concurrent static single assignment for general barrier synchronized parallel programs
US8819359B2 (en) 2009-06-29 2014-08-26 Oracle America, Inc. Hybrid interleaving in memory modules by interleaving physical addresses for a page across ranks in a memory module
US8352945B2 (en) 2009-08-11 2013-01-08 International Business Machines Corporation System, method, and apparatus for scan-sharing for business intelligence queries in an in-memory database
US8577950B2 (en) * 2009-08-17 2013-11-05 International Business Machines Corporation Matrix multiplication operations with data pre-conditioning in a high performance computing architecture
US8615637B2 (en) 2009-09-10 2013-12-24 Advanced Micro Devices, Inc. Systems and methods for processing memory requests in a multi-processor system using a probe engine
US8364739B2 (en) 2009-09-30 2013-01-29 International Business Machines Corporation Sparse matrix-vector multiplication on graphics processor units
US8713294B2 (en) 2009-11-13 2014-04-29 International Business Machines Corporation Heap/stack guard pages using a wakeup unit
US8984043B2 (en) * 2009-12-23 2015-03-17 Intel Corporation Multiplying and adding matrices
US8669990B2 (en) 2009-12-31 2014-03-11 Intel Corporation Sharing resources between a CPU and GPU
US20110208505A1 (en) 2010-02-24 2011-08-25 Advanced Micro Devices, Inc. Assigning floating-point operations to a floating-point unit and an arithmetic logic unit
US8572322B2 (en) 2010-03-29 2013-10-29 Freescale Semiconductor, Inc. Asynchronously scheduling memory access requests
US20110249744A1 (en) 2010-04-12 2011-10-13 Neil Bailey Method and System for Video Processing Utilizing N Scalar Cores and a Single Vector Core
US8677613B2 (en) 2010-05-20 2014-03-25 International Business Machines Corporation Enhanced modularity in heterogeneous 3D stacks
US8812575B2 (en) * 2010-07-06 2014-08-19 Silminds, Llc, Egypt Decimal floating-point square-root unit using Newton-Raphson iterations
CN201927837U (zh) 2010-08-10 2011-08-10 富士康(昆山)电脑接插件有限公司 连接器模组
US20120059983A1 (en) 2010-09-03 2012-03-08 David Wilkins Nellans Predictor-based management of dram row-buffers
US20120059866A1 (en) * 2010-09-03 2012-03-08 Advanced Micro Devices, Inc. Method and apparatus for performing floating-point division
US8667042B2 (en) * 2010-09-24 2014-03-04 Intel Corporation Functional unit for vector integer multiply add instruction
US8982140B2 (en) 2010-09-24 2015-03-17 Nvidia Corporation Hierarchical memory addressing
US9965395B2 (en) 2010-09-28 2018-05-08 Texas Instruments Incorporated Memory attribute sharing between differing cache levels of multilevel cache
US8488055B2 (en) * 2010-09-30 2013-07-16 Apple Inc. Flash synchronization using image sensor interface timing signal
TWI428833B (zh) * 2010-11-10 2014-03-01 Via Tech Inc 多執行緒處理器及其指令執行及同步方法及其電腦程式產品
US8745111B2 (en) * 2010-11-16 2014-06-03 Apple Inc. Methods and apparatuses for converting floating point representations
CN101986264B (zh) * 2010-11-25 2013-07-31 中国人民解放军国防科学技术大学 用于simd向量微处理器的多功能浮点乘加运算装置
US8847965B2 (en) 2010-12-03 2014-09-30 The University Of North Carolina At Chapel Hill Methods, systems, and computer readable media for fast geometric sound propagation using visibility computations
GB2488985A (en) 2011-03-08 2012-09-19 Advanced Risc Mach Ltd Mixed size data processing operation with integrated operand conversion instructions
US8667222B2 (en) 2011-04-01 2014-03-04 Intel Corporation Bypass and insertion algorithms for exclusive last-level caches
US8862653B2 (en) 2011-04-26 2014-10-14 University Of South Carolina System and method for sparse matrix vector multiplication processing
FR2974645A1 (fr) 2011-04-28 2012-11-02 Kalray Operateur de multiplication et addition fusionnees a precision mixte
US9501392B1 (en) 2011-05-12 2016-11-22 Avago Technologies General Ip (Singapore) Pte. Ltd. Management of a non-volatile memory module
JP5813380B2 (ja) 2011-06-03 2015-11-17 株式会社東芝 半導体記憶装置
US9032156B2 (en) 2011-07-06 2015-05-12 Advanced Micro Devices, Inc. Memory access monitor
CN102214160B (zh) * 2011-07-08 2013-04-17 中国科学技术大学 一种基于龙芯3a的单精度矩阵乘法优化方法
US9529712B2 (en) 2011-07-26 2016-12-27 Nvidia Corporation Techniques for balancing accesses to memory having different memory types
US9727336B2 (en) * 2011-09-16 2017-08-08 International Business Machines Corporation Fine-grained instruction enablement at sub-function granularity based on an indicated subrange of registers
US20130099946A1 (en) 2011-10-21 2013-04-25 International Business Machines Corporation Data Compression Utilizing Variable and Limited Length Codes
US8935478B2 (en) 2011-11-01 2015-01-13 International Business Machines Corporation Variable cache line size management
US20130141442A1 (en) 2011-12-06 2013-06-06 John W. Brothers Method and apparatus for multi-chip processing
US20130148947A1 (en) 2011-12-13 2013-06-13 Ati Technologies Ulc Video player with multiple grpahics processors
US9021237B2 (en) * 2011-12-20 2015-04-28 International Business Machines Corporation Low latency variable transfer network communicating variable written to source processing core variable register allocated to destination thread to destination processing core variable register allocated to source thread
CN103975302B (zh) 2011-12-22 2017-10-27 英特尔公司 矩阵乘法累加指令
CN107741861B (zh) * 2011-12-23 2022-03-15 英特尔公司 用于混洗浮点或整数值的装置和方法
US9792115B2 (en) * 2011-12-23 2017-10-17 Intel Corporation Super multiply add (super MADD) instructions with three scalar terms
WO2013101120A1 (en) 2011-12-29 2013-07-04 Intel Corporation Online learning based algorithms to increase retention and reuse of gpu-generated dynamic surfaces in outer-level caches
US20140195783A1 (en) 2011-12-29 2014-07-10 Krishnan Karthikeyan Dot product processors, methods, systems, and instructions
US20130185515A1 (en) 2012-01-16 2013-07-18 Qualcomm Incorporated Utilizing Negative Feedback from Unexpected Miss Addresses in a Hardware Prefetcher
US10359972B2 (en) 2012-08-31 2019-07-23 Sandisk Technologies Llc Systems, methods, and interfaces for adaptive persistence
US20140052965A1 (en) 2012-02-08 2014-02-20 Uzi Sarel Dynamic cpu gpu load balancing using power
US20130218938A1 (en) * 2012-02-17 2013-08-22 Qualcomm Incorporated Floating-point adder with operand shifting based on a predicted exponent difference
US9036710B2 (en) 2012-03-08 2015-05-19 Blackberry Limited Unified transform coefficient encoding and decoding
US8775762B2 (en) 2012-05-07 2014-07-08 Advanced Micro Devices, Inc. Method and apparatus for batching memory requests
US9213523B2 (en) 2012-06-29 2015-12-15 Intel Corporation Double rounded combined floating-point multiply and add
US8892619B2 (en) * 2012-07-24 2014-11-18 The Board Of Trustees Of The Leland Stanford Junior University Floating-point multiply-add unit using cascade design
US9128845B2 (en) 2012-07-30 2015-09-08 Hewlett-Packard Development Company, L.P. Dynamically partition a volatile memory for a cache and a memory partition
CN103581052B (zh) 2012-08-02 2017-07-21 华为技术有限公司 一种数据处理方法、路由器及ndn系统
JP6007667B2 (ja) 2012-08-17 2016-10-12 富士通株式会社 情報処理装置、情報処理方法、及び情報処理プログラム
US9298456B2 (en) * 2012-08-21 2016-03-29 Apple Inc. Mechanism for performing speculative predicated instructions
US9110713B2 (en) * 2012-08-30 2015-08-18 Qualcomm Incorporated Microarchitecture for floating point fused multiply-add with exponent scaling
US20140075163A1 (en) * 2012-09-07 2014-03-13 Paul N. Loewenstein Load-monitor mwait
US9134954B2 (en) 2012-09-10 2015-09-15 Qualcomm Incorporated GPU memory buffer pre-fetch and pre-back signaling to avoid page-fault
US9146846B2 (en) 2012-09-14 2015-09-29 Advanced Micro Devices, Inc. Programmable physical address mapping for memory
US10742475B2 (en) 2012-12-05 2020-08-11 Origin Wireless, Inc. Method, apparatus, and system for object tracking sensing using broadcasting
US9582287B2 (en) * 2012-09-27 2017-02-28 Intel Corporation Processor having multiple cores, shared core extension logic, and shared core extension utilization instructions
US9626294B2 (en) 2012-10-03 2017-04-18 International Business Machines Corporation Performance-driven cache line memory access
US9317482B2 (en) 2012-10-14 2016-04-19 Microsoft Technology Licensing, Llc Universal FPGA/ASIC matrix-vector multiplication architecture
US9152382B2 (en) * 2012-10-31 2015-10-06 Intel Corporation Reducing power consumption in a fused multiply-add (FMA) unit responsive to input data values
US11150721B2 (en) * 2012-11-07 2021-10-19 Nvidia Corporation Providing hints to an execution unit to prepare for predicted subsequent arithmetic operations
US9829956B2 (en) * 2012-11-21 2017-11-28 Nvidia Corporation Approach to power reduction in floating-point operations
US9183144B2 (en) 2012-12-14 2015-11-10 Intel Corporation Power gating a portion of a cache memory
US20140173203A1 (en) 2012-12-18 2014-06-19 Andrew T. Forsyth Block Memory Engine
US10289418B2 (en) 2012-12-27 2019-05-14 Nvidia Corporation Cooperative thread array granularity context switch during trap handling
US9317251B2 (en) * 2012-12-31 2016-04-19 Nvidia Corporation Efficient correction of normalizer shift amount errors in fused multiply add operations
US9298457B2 (en) * 2013-01-22 2016-03-29 Altera Corporation SIMD instructions for data compression and decompression
US9971710B2 (en) 2013-02-07 2018-05-15 Microsoft Technology Licensing, Llc Optimizing data transfers between heterogeneous memory arenas
US9122613B2 (en) 2013-03-07 2015-09-01 Arm Limited Prefetching of data and instructions in a data processing apparatus
US9478066B2 (en) 2013-03-14 2016-10-25 Nvidia Corporation Consistent vertex snapping for variable resolution rendering
US10133677B2 (en) 2013-03-14 2018-11-20 Nvidia Corporation Opportunistic migration of memory pages in a unified virtual memory system
US9940286B2 (en) 2013-03-14 2018-04-10 Nvidia Corporation PCIE traffic tracking hardware in a unified virtual memory system
US9525586B2 (en) * 2013-03-15 2016-12-20 Intel Corporation QoS based binary translation and application streaming
GB2514397B (en) * 2013-05-23 2017-10-11 Linear Algebra Tech Ltd Corner detection
JP2016526220A (ja) 2013-05-24 2016-09-01 コーヒレント・ロジックス・インコーポレーテッド プログラム可能な最適化を有するメモリネットワークプロセッサ
US9378127B2 (en) 2013-06-21 2016-06-28 Intel Corporation Dynamic memory page policy
US9264066B2 (en) * 2013-07-30 2016-02-16 Apple Inc. Type conversion using floating-point unit
US9946666B2 (en) 2013-08-06 2018-04-17 Nvidia Corporation Coalescing texture access and load/store operations
US9092345B2 (en) * 2013-08-08 2015-07-28 Arm Limited Data processing systems
US9710380B2 (en) 2013-08-29 2017-07-18 Intel Corporation Managing shared cache by multi-core processor
TWI676898B (zh) 2013-12-09 2019-11-11 安然國際科技有限公司 分散式記憶體磁碟群集儲存系統運作方法
US9465578B2 (en) * 2013-12-13 2016-10-11 Nvidia Corporation Logic circuitry configurable to perform 32-bit or dual 16-bit floating-point operations
US9461667B2 (en) * 2013-12-30 2016-10-04 Samsung Electronics Co., Ltd. Rounding injection scheme for floating-point to integer conversion
US20150193358A1 (en) 2014-01-06 2015-07-09 Nvidia Corporation Prioritized Memory Reads
US10528357B2 (en) 2014-01-17 2020-01-07 L3 Technologies, Inc. Web-based recorder configuration utility
US20150205724A1 (en) 2014-01-20 2015-07-23 Honeywell International Inc. System and method of cache partitioning for processors with limited cached memory pools
KR102100161B1 (ko) 2014-02-04 2020-04-14 삼성전자주식회사 Gpu 데이터 캐싱 방법 및 그에 따른 데이터 프로세싱 시스템
US9391771B2 (en) 2014-02-06 2016-07-12 Empire Technology Development Llc Server-client secret generation with cached data
US9275429B2 (en) 2014-02-17 2016-03-01 Qualcomm Incorporated Device hang detection and recovery
KR20150106132A (ko) 2014-03-11 2015-09-21 삼성전자주식회사 전자 장치의 캐시 메모리 제어 방법 및 장치
US20150268963A1 (en) * 2014-03-23 2015-09-24 Technion Research & Development Foundation Ltd. Execution of data-parallel programs on coarse-grained reconfigurable architecture hardware
CN106030510A (zh) * 2014-03-26 2016-10-12 英特尔公司 三源操作数浮点加法处理器、方法、系统和指令
US9436972B2 (en) 2014-03-27 2016-09-06 Intel Corporation System coherency in a distributed graphics processor hierarchy
EP2937794B1 (en) 2014-04-22 2016-08-17 DataVard GmbH Method and system for archiving digital data
US9673998B2 (en) 2014-05-15 2017-06-06 Futurewei Technologies, Inc. Differential cache for representational state transfer (REST) API
JP6248808B2 (ja) 2014-05-22 2017-12-20 富士通株式会社 情報処理装置、情報処理システム、情報処理装置の制御方法、及び、情報処理装置の制御プログラム
KR102192956B1 (ko) 2014-06-23 2020-12-18 삼성전자주식회사 디스플레이 장치 및 그 제어 방법
US10061592B2 (en) 2014-06-27 2018-08-28 Samsung Electronics Co., Ltd. Architecture and execution for efficient mixed precision computations in single instruction multiple data/thread (SIMD/T) devices
US9520192B2 (en) 2014-06-30 2016-12-13 Intel Corporation Resistive memory write operation with merged reset
US20150378920A1 (en) 2014-06-30 2015-12-31 John G. Gierach Graphics data pre-fetcher for last level caches
US10032244B2 (en) 2014-08-21 2018-07-24 Intel Corporation Method and apparatus for implementing a nearest neighbor search on a graphics processing unit (GPU)
US10223333B2 (en) * 2014-08-29 2019-03-05 Nvidia Corporation Performing multi-convolution operations in a parallel processing system
KR102263326B1 (ko) 2014-09-18 2021-06-09 삼성전자주식회사 그래픽 프로세싱 유닛 및 이를 이용한 그래픽 데이터 처리 방법
US9928076B2 (en) 2014-09-26 2018-03-27 Intel Corporation Method and apparatus for unstructured control flow for SIMD execution engine
US20160092118A1 (en) 2014-09-26 2016-03-31 Intel Corporation Memory write management in a computer system
CN104407836B (zh) * 2014-10-14 2017-05-31 中国航天科技集团公司第九研究院第七七一研究所 利用定点乘法器进行级联乘累加运算的装置和方法
JP2016091242A (ja) 2014-10-31 2016-05-23 富士通株式会社 キャッシュメモリ、キャッシュメモリへのアクセス方法及び制御プログラム
US20160124709A1 (en) * 2014-11-04 2016-05-05 International Business Machines Corporation Fast, energy-efficient exponential computations in simd architectures
CN104461449B (zh) * 2014-11-14 2018-02-27 中国科学院数据与通信保护研究教育中心 基于向量指令的大整数乘法实现方法及装置
US10282227B2 (en) 2014-11-18 2019-05-07 Intel Corporation Efficient preemption for graphics processors
US9491112B1 (en) * 2014-12-10 2016-11-08 Amazon Technologies, Inc. Allocating processor resources based on a task identifier
US9898411B2 (en) 2014-12-14 2018-02-20 Via Alliance Semiconductor Co., Ltd. Cache memory budgeted by chunks based on memory access type
WO2016097805A1 (en) 2014-12-14 2016-06-23 Via Alliance Semicoductor Co., Ltd. Cache memory budgeted by ways on memory access type
EP3129890B1 (en) 2014-12-14 2019-08-14 VIA Alliance Semiconductor Co., Ltd. Set associative cache memory with heterogeneous replacement policy
US9928034B2 (en) * 2014-12-17 2018-03-27 Nvidia Corporation Work-efficient, load-balanced, merge-based parallelized consumption of sequences of sequences
FR3030846B1 (fr) 2014-12-23 2017-12-29 Commissariat Energie Atomique Representation semantique du contenu d'une image
US10297001B2 (en) * 2014-12-26 2019-05-21 Intel Corporation Reduced power implementation of computer instructions
US9710228B2 (en) * 2014-12-29 2017-07-18 Imagination Technologies Limited Unified multiply unit
US9304835B1 (en) 2014-12-31 2016-04-05 International Business Machines Corporation Optimized system for analytics (graphs and sparse matrices) operations
US20170061279A1 (en) * 2015-01-14 2017-03-02 Intel Corporation Updating an artificial neural network using flexible fixed point representation
US20160255169A1 (en) 2015-02-27 2016-09-01 Futurewei Technologies, Inc. Method and system for smart object eviction for proxy cache
US10002455B2 (en) * 2015-04-20 2018-06-19 Intel Corporation Optimized depth buffer cache apparatus and method
US9626299B2 (en) 2015-05-01 2017-04-18 Intel Corporation Changing a hash function based on a conflict ratio associated with cache sets
US10262259B2 (en) * 2015-05-08 2019-04-16 Qualcomm Incorporated Bit width selection for fixed point neural networks
US9804666B2 (en) 2015-05-26 2017-10-31 Samsung Electronics Co., Ltd. Warp clustering
US20160378465A1 (en) 2015-06-23 2016-12-29 Intel Corporation Efficient sparse array handling in a processor
GB2540761B (en) 2015-07-23 2017-12-06 Advanced Risc Mach Ltd Cache usage estimation
KR20170014109A (ko) 2015-07-29 2017-02-08 삼성전자주식회사 반도체 메모리 장치 및 이를 포함하는 메모리 시스템
US20170039144A1 (en) 2015-08-07 2017-02-09 Intel Corporation Loading data using sub-thread information in a processor
US20190073582A1 (en) * 2015-09-23 2019-03-07 Yi Yang Apparatus and method for local quantization for convolutional neural networks (cnns)
US10423354B2 (en) 2015-09-23 2019-09-24 Advanced Micro Devices, Inc. Selective data copying between memory modules
US11068401B2 (en) 2015-09-25 2021-07-20 Intel Corporation Method and apparatus to improve shared memory efficiency
US10423411B2 (en) 2015-09-26 2019-09-24 Intel Corporation Data element comparison processors, methods, systems, and instructions
US10042749B2 (en) 2015-11-10 2018-08-07 International Business Machines Corporation Prefetch insensitive transactional memory
US10387309B2 (en) 2015-10-14 2019-08-20 Elastifile Ltd. High-performance distributed caching
KR101843243B1 (ko) 2015-10-30 2018-03-29 세종대학교산학협력단 제로값을 피연산자로 갖는 연산자에 대한 연산을 스킵하는 연산 방법 및 연산 장치
US9558156B1 (en) 2015-11-24 2017-01-31 International Business Machines Corporation Sparse matrix multiplication using a single field programmable gate array module
CN106886429B (zh) 2015-12-16 2020-11-06 华为技术有限公司 一种加载驱动程序的方法和服务器
US20170177336A1 (en) * 2015-12-22 2017-06-22 Intel Corporation Hardware cancellation monitor for floating point operations
US9996320B2 (en) * 2015-12-23 2018-06-12 Intel Corporation Fused multiply-add (FMA) low functional unit
KR102604737B1 (ko) 2016-01-11 2023-11-22 삼성전자주식회사 가속 구조를 생성하는 방법 및 장치
US10762164B2 (en) 2016-01-20 2020-09-01 Cambricon Technologies Corporation Limited Vector and matrix computing device
US20170214930A1 (en) 2016-01-26 2017-07-27 Sandia Corporation Gpu-assisted lossless data compression
US9778871B1 (en) 2016-03-27 2017-10-03 Qualcomm Incorporated Power-reducing memory subsystem having a system cache and local resource management
US20170308800A1 (en) * 2016-04-26 2017-10-26 Smokescreen Intelligence, LLC Interchangeable Artificial Intelligence Perception Systems and Methods
CN111651205B (zh) 2016-04-26 2023-11-17 中科寒武纪科技股份有限公司 一种用于执行向量内积运算的装置和方法
US10509732B2 (en) 2016-04-27 2019-12-17 Advanced Micro Devices, Inc. Selecting cache aging policy for prefetches based on cache test regions
GB201607713D0 (en) * 2016-05-03 2016-06-15 Imagination Tech Ltd Convolutional neural network
CN107346148A (zh) * 2016-05-04 2017-11-14 杭州海存信息技术有限公司 基于背面查找表的仿真处理器
US9846579B1 (en) * 2016-06-13 2017-12-19 Apple Inc. Unified integer and floating-point compare circuitry
US10176099B2 (en) 2016-07-11 2019-01-08 Intel Corporation Using data pattern to mark cache lines as invalid
JP6665720B2 (ja) 2016-07-14 2020-03-13 富士通株式会社 情報処理装置、コンパイルプログラム、コンパイル方法、およびキャッシュ制御方法
US20180018266A1 (en) 2016-07-18 2018-01-18 Advanced Micro Devices, Inc. Stride prefetcher for inconsistent strides
US10091904B2 (en) 2016-07-22 2018-10-02 Intel Corporation Storage sled for data center
US20180046898A1 (en) 2016-08-11 2018-02-15 Vivante Corporation Zero Coefficient Skipping Convolution Neural Network Engine
US10891538B2 (en) 2016-08-11 2021-01-12 Nvidia Corporation Sparse convolutional neural network accelerator
US10997496B2 (en) 2016-08-11 2021-05-04 Nvidia Corporation Sparse convolutional neural network accelerator
US10467195B2 (en) 2016-09-06 2019-11-05 Samsung Electronics Co., Ltd. Adaptive caching replacement manager with dynamic updating granulates and partitions for shared flash-based storage system
US20180107602A1 (en) 2016-10-13 2018-04-19 Intel Corporation Latency and Bandwidth Efficiency Improvement for Read Modify Write When a Read Operation is Requested to a Partially Modified Write Only Cacheline
US11315018B2 (en) 2016-10-21 2022-04-26 Nvidia Corporation Systems and methods for pruning neural networks for resource efficient inference
US10216479B2 (en) * 2016-12-06 2019-02-26 Arm Limited Apparatus and method for performing arithmetic operations to accumulate floating-point numbers
CN106683036A (zh) 2016-12-12 2017-05-17 中国航空工业集团公司西安航空计算技术研究所 一种面向gpu高效绘制的帧缓冲区存储编码方法
US10452551B2 (en) 2016-12-12 2019-10-22 Intel Corporation Programmable memory prefetcher for prefetching multiple cache lines based on data in a prefetch engine control register
KR20180069461A (ko) 2016-12-15 2018-06-25 삼성전자주식회사 가속 구조를 생성하는 방법 및 장치
US20180173623A1 (en) 2016-12-21 2018-06-21 Qualcomm Incorporated Reducing or avoiding buffering of evicted cache data from an uncompressed cache memory in a compressed memory system to avoid stalling write operations
US20180183577A1 (en) * 2016-12-28 2018-06-28 Intel Corporation Techniques for secure message authentication with unified hardware acceleration
US10558575B2 (en) * 2016-12-30 2020-02-11 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
DE112016007566T5 (de) 2016-12-31 2019-09-26 Intel Corporation Systeme, Verfahren und Vorrichtungen zur heterogenen Berechnung
US10146738B2 (en) 2016-12-31 2018-12-04 Intel Corporation Hardware accelerator architecture for processing very-sparse and hyper-sparse matrix data
US20180210836A1 (en) 2017-01-24 2018-07-26 Microsoft Technology Licensing, Llc Thermal and reliability based cache slice migration
US10430912B2 (en) 2017-02-14 2019-10-01 Qualcomm Incorporated Dynamic shader instruction nullification for graphics processing
GB2560159B (en) * 2017-02-23 2019-12-25 Advanced Risc Mach Ltd Widening arithmetic in a data processing apparatus
US10409887B1 (en) 2017-02-28 2019-09-10 Ambarella, Inc. Generalized dot product for computer vision applications
KR102499396B1 (ko) 2017-03-03 2023-02-13 삼성전자 주식회사 뉴럴 네트워크 장치 및 뉴럴 네트워크 장치의 동작 방법
US10198369B2 (en) 2017-03-24 2019-02-05 Advanced Micro Devices, Inc. Dynamic memory remapping to reduce row-buffer conflicts
US10209890B2 (en) 2017-03-28 2019-02-19 International Business Machines Corporation Near memory accelerator
US10303602B2 (en) 2017-03-31 2019-05-28 Advanced Micro Devices, Inc. Preemptive cache management policies for processing units
US10229059B2 (en) 2017-03-31 2019-03-12 Intel Corporation Dynamic fill policy for a shared cache
US10503652B2 (en) 2017-04-01 2019-12-10 Intel Corporation Sector cache for compression
US10423415B2 (en) 2017-04-01 2019-09-24 Intel Corporation Hierarchical general register file (GRF) for execution block
US10304421B2 (en) 2017-04-07 2019-05-28 Intel Corporation Apparatus and method for remote display and content protection in a virtualized graphics processing environment
US10861216B2 (en) 2017-04-07 2020-12-08 Intel Corporation Ray tracing apparatus and method for memory access and register operations
US20180300258A1 (en) 2017-04-13 2018-10-18 Futurewei Technologies, Inc. Access rank aware cache replacement policy
US10409614B2 (en) 2017-04-24 2019-09-10 Intel Corporation Instructions having support for floating point and integer data types in the same register
US10726514B2 (en) 2017-04-28 2020-07-28 Intel Corporation Compute optimizations for low precision machine learning operations
US10186011B2 (en) 2017-04-28 2019-01-22 Intel Corporation Programmable coarse grained and sparse matrix compute hardware with advanced scheduling
US10474458B2 (en) 2017-04-28 2019-11-12 Intel Corporation Instructions and logic to perform floating-point and integer operations for machine learning
US11488008B2 (en) 2017-05-05 2022-11-01 Intel Corporation Hardware implemented point to point communication primitives for machine learning
US10776699B2 (en) 2017-05-05 2020-09-15 Intel Corporation Optimized compute hardware for machine learning operations
US10338919B2 (en) * 2017-05-08 2019-07-02 Nvidia Corporation Generalized acceleration of matrix multiply accumulate operations
KR102258120B1 (ko) 2017-05-17 2021-05-28 구글 엘엘씨 하드웨어에서 매트릭스 곱셈을 수행
US20180336136A1 (en) 2017-05-17 2018-11-22 Qualcomm Incorporated Input/output-coherent Look-ahead Cache Access
US10102015B1 (en) 2017-06-22 2018-10-16 Microsoft Technology Licensing, Llc Just in time GPU executed program cross compilation
US10282299B2 (en) 2017-06-23 2019-05-07 Cavium, Llc Managing cache partitions based on cache usage information
US10969740B2 (en) 2017-06-27 2021-04-06 Nvidia Corporation System and method for near-eye light field rendering for wide field of view interactive three-dimensional computer graphics
US10984049B2 (en) 2017-06-27 2021-04-20 Nvidia Corporation Performing traversal stack compression
US10331558B2 (en) 2017-07-28 2019-06-25 Apple Inc. Systems and methods for performing memory compression
US10990648B2 (en) 2017-08-07 2021-04-27 Intel Corporation System and method for an optimized winograd convolution accelerator
US10394456B2 (en) 2017-08-23 2019-08-27 Micron Technology, Inc. On demand memory page size
US11232531B2 (en) 2017-08-29 2022-01-25 Intel Corporation Method and apparatus for efficient loop processing in a graphics hardware front end
US10691572B2 (en) 2017-08-30 2020-06-23 Nvidia Corporation Liveness as a factor to evaluate memory vulnerability to soft errors
US10725740B2 (en) 2017-08-31 2020-07-28 Qualcomm Incorporated Providing efficient multiplication of sparse matrices in matrix-processor-based devices
US10503507B2 (en) 2017-08-31 2019-12-10 Nvidia Corporation Inline data inspection for workload simplification
US10943171B2 (en) 2017-09-01 2021-03-09 Facebook, Inc. Sparse neural network training optimization
US10503520B2 (en) 2017-09-26 2019-12-10 Intel Corporation Automatic waking of power domains for graphics configuration requests
US10782904B2 (en) 2017-09-28 2020-09-22 Intel Corporation Host computing arrangement, remote server arrangement, storage system and methods thereof
US10692244B2 (en) 2017-10-06 2020-06-23 Nvidia Corporation Learning based camera pose estimation from images of an environment
US11222256B2 (en) 2017-10-17 2022-01-11 Xilinx, Inc. Neural network processing system having multiple processors and a neural network accelerator
GB2569271B (en) 2017-10-20 2020-05-13 Graphcore Ltd Synchronization with a host processor
GB2569274B (en) 2017-10-20 2020-07-15 Graphcore Ltd Synchronization amongst processor tiles
GB2569844B (en) 2017-10-20 2021-01-06 Graphcore Ltd Sending data off-chip
GB2569098B (en) 2017-10-20 2020-01-08 Graphcore Ltd Combining states of multiple threads in a multi-threaded processor
US11651223B2 (en) 2017-10-27 2023-05-16 Baidu Usa Llc Systems and methods for block-sparse recurrent neural networks
KR102414047B1 (ko) 2017-10-30 2022-06-29 에스케이하이닉스 주식회사 통합 메모리 디바이스 및 그의 동작 방법
US10762137B1 (en) 2017-11-15 2020-09-01 Amazon Technologies, Inc. Page table search engine
US10762620B2 (en) 2017-11-27 2020-09-01 Nvidia Corporation Deep-learning method for separating reflection and transmission images visible at a semi-reflective surface in a computer image of a real-world scene
US11977974B2 (en) 2017-11-30 2024-05-07 International Business Machines Corporation Compression of fully connected / recurrent layers of deep network(s) through enforcing spatial locality to weight matrices and effecting frequency compression
US11294810B2 (en) 2017-12-12 2022-04-05 Advanced Micro Devices, Inc. Memory request throttling to constrain memory bandwidth utilization
US10579535B2 (en) 2017-12-15 2020-03-03 Intel Corporation Defragmented and efficient micro-operation cache
EP3783477B1 (en) 2017-12-27 2021-11-17 Cambricon Technologies Corporation Limited Integrated circuit chip device
US10482156B2 (en) 2017-12-29 2019-11-19 Facebook, Inc. Sparsity-aware hardware accelerators
KR102533241B1 (ko) 2018-01-25 2023-05-16 삼성전자주식회사 적응적으로 캐시 일관성을 제어하도록 구성된 이종 컴퓨팅 시스템
US10970080B2 (en) 2018-02-08 2021-04-06 Marvell Asia Pte, Ltd. Systems and methods for programmable hardware architecture for machine learning
US11693627B2 (en) 2018-02-09 2023-07-04 Deepmind Technologies Limited Contiguous sparsity pattern neural networks
US10755201B2 (en) 2018-02-14 2020-08-25 Lucid Circuit, Inc. Systems and methods for data collection and analysis at the edge
JP2019148969A (ja) 2018-02-27 2019-09-05 富士通株式会社 行列演算装置、行列演算方法および行列演算プログラム
US20190278593A1 (en) 2018-03-09 2019-09-12 Nvidia Corporation Accelerating linear algebra kernels for any processor architecture
US20190278600A1 (en) 2018-03-09 2019-09-12 Nvidia Corporation Tiled compressed sparse matrix format
US10678508B2 (en) 2018-03-23 2020-06-09 Amazon Technologies, Inc. Accelerated quantized multiply-and-add operations
US10572568B2 (en) 2018-03-28 2020-02-25 Intel Corporation Accelerator for sparse-dense matrix multiplication
EP3777166A1 (en) 2018-04-13 2021-02-17 Koninklijke KPN N.V. Frame-level super-resolution-based video coding
US10769526B2 (en) * 2018-04-24 2020-09-08 Intel Corporation Machine learning accelerator architecture
US11010092B2 (en) 2018-05-09 2021-05-18 Micron Technology, Inc. Prefetch signaling in memory system or sub-system
US10572409B1 (en) 2018-05-10 2020-02-25 Xilinx, Inc. Sparse matrix processing circuitry
US11269805B2 (en) 2018-05-15 2022-03-08 Intel Corporation Signal pathways in multi-tile processors
GB2574060B (en) 2018-05-25 2022-11-23 Myrtle Software Ltd Processing matrix vector multiplication
US10838864B2 (en) 2018-05-30 2020-11-17 Advanced Micro Devices, Inc. Prioritizing local and remote memory access in a non-uniform memory access architecture
US10699468B2 (en) 2018-06-09 2020-06-30 Adshir Ltd. Method for non-planar specular reflections in hybrid ray tracing
US20190392287A1 (en) 2018-06-22 2019-12-26 Samsung Electronics Co., Ltd. Neural processor
US10620951B2 (en) 2018-06-22 2020-04-14 Intel Corporation Matrix multiplication acceleration of sparse matrices using column folding and squeezing
CN113190791A (zh) 2018-08-06 2021-07-30 华为技术有限公司 矩阵的处理方法、装置及逻辑电路
EP3608828A1 (de) 2018-08-09 2020-02-12 Olympus Soft Imaging Solutions GmbH Verfahren zur bereitstellung eines auswertungsmittels für wenigstens ein optisches anwendungssystem einer mikroskopischen anwendungstechnologie
US20190042457A1 (en) 2018-08-22 2019-02-07 Intel Corporation Cache (partition) size determination method and apparatus
KR20200022118A (ko) 2018-08-22 2020-03-03 에스케이하이닉스 주식회사 데이터 저장 장치 및 그 동작 방법
US11833681B2 (en) 2018-08-24 2023-12-05 Nvidia Corporation Robotic control system
US10846241B2 (en) 2018-08-29 2020-11-24 Vmware, Inc. Score-based cache admission and eviction
US11093248B2 (en) 2018-09-10 2021-08-17 International Business Machines Corporation Prefetch queue allocation protection bubble in a processor
US10817426B2 (en) 2018-09-24 2020-10-27 Arm Limited Prefetching techniques
US10769070B2 (en) 2018-09-25 2020-09-08 Arm Limited Multiple stride prefetching
US10853067B2 (en) 2018-09-27 2020-12-01 Intel Corporation Computer processor for higher precision computations using a mixed-precision decomposition of operations
US11294626B2 (en) 2018-09-27 2022-04-05 Intel Corporation Floating-point dynamic range expansion
EP3857387A4 (en) 2018-09-28 2022-05-18 INTEL Corporation ADDRESS TRANSLATION BUFFER TO IMPLEMENT AN ADAPTIVE PAGE SIZE
GB2578097B (en) 2018-10-15 2021-02-17 Advanced Risc Mach Ltd Cache control circuitry and methods
US10768895B2 (en) 2018-11-08 2020-09-08 Movidius Limited Dot product calculators and methods of operating the same
US10963246B2 (en) 2018-11-09 2021-03-30 Intel Corporation Systems and methods for performing 16-bit floating-point matrix dot product instructions
US11366663B2 (en) 2018-11-09 2022-06-21 Intel Corporation Systems and methods for performing 16-bit floating-point vector dot product instructions
US20200175074A1 (en) 2018-12-04 2020-06-04 Vmware, Inc. Tree structure aware cache eviction policy
US11615307B2 (en) 2018-12-06 2023-03-28 MIPS Tech, LLC Neural network data computation using mixed-precision
US20200202195A1 (en) 2018-12-06 2020-06-25 MIPS Tech, LLC Neural network processing using mixed-precision data representation
US11893470B2 (en) 2018-12-06 2024-02-06 MIPS Tech, LLC Neural network processing using specialized data representation
GB2580151B (en) 2018-12-21 2021-02-24 Graphcore Ltd Identifying processing units in a processor
US10909741B2 (en) 2018-12-28 2021-02-02 Intel Corporation Speculative execution of hit and intersection shaders on programmable ray tracing architectures
US10832371B2 (en) 2018-12-28 2020-11-10 Intel Corporation Unified architecture for BVH construction based on hardware pre-sorting and a parallel, reconfigurable clustering array
KR20200091623A (ko) 2019-01-23 2020-07-31 삼성전자주식회사 위노그라드 변환에 기반한 뉴럴 네트워크의 컨볼루션 연산을 수행하는 방법 및 장치
US11106600B2 (en) 2019-01-24 2021-08-31 Advanced Micro Devices, Inc. Cache replacement based on translation lookaside buffer evictions
US10725923B1 (en) 2019-02-05 2020-07-28 Arm Limited Cache access detection and prediction
US10915461B2 (en) 2019-03-05 2021-02-09 International Business Machines Corporation Multilevel cache eviction management
EP4130988A1 (en) 2019-03-15 2023-02-08 INTEL Corporation Systems and methods for cache optimization
DE112020000850T5 (de) 2019-03-15 2022-01-20 Intel Corporation Cache-Struktur und -Nutzung
US11934342B2 (en) 2019-03-15 2024-03-19 Intel Corporation Assistance for hardware prefetch in cache access
DE112020001249T5 (de) 2019-03-15 2021-12-23 Intel Corporation Dünnbesetzt-Optimierungen für eine Matrixbeschleunigerarchitektur
US11574239B2 (en) * 2019-03-18 2023-02-07 Microsoft Technology Licensing, Llc Outlier quantization for training and inference
US11036642B2 (en) 2019-04-26 2021-06-15 Intel Corporation Architectural enhancements for computing systems having artificial intelligence logic disposed locally to memory
US11675998B2 (en) 2019-07-15 2023-06-13 Meta Platforms Technologies, Llc System and method for performing small channel count convolutions in energy-efficient input operand stationary accelerator
CN114222748A (zh) 2019-07-26 2022-03-22 株式会社Adeka 热失控抑制剂
US11861761B2 (en) 2019-11-15 2024-01-02 Intel Corporation Graphics processing unit processing and caching improvements
US11663746B2 (en) 2019-11-15 2023-05-30 Intel Corporation Systolic arithmetic on sparse data
US11275561B2 (en) 2019-12-12 2022-03-15 International Business Machines Corporation Mixed precision floating-point multiply-add operation
US11645145B2 (en) 2019-12-16 2023-05-09 Qualcomm Incorporated Methods and apparatus to facilitate speculative page fault handling in a graphics processing unit
US20220100518A1 (en) 2020-09-25 2022-03-31 Advanced Micro Devices, Inc. Compression metadata assisted computation
US20220197975A1 (en) 2020-12-23 2022-06-23 Intel Corporation Apparatus and method for conjugate transpose and multiply
US20220335563A1 (en) 2021-07-06 2022-10-20 Intel Corporation Graphics processing unit with network interfaces

Also Published As

Publication number Publication date
US20220357945A1 (en) 2022-11-10
TW201839642A (zh) 2018-11-01
EP3637246B1 (en) 2022-04-06
US10353706B2 (en) 2019-07-16
CN112947894A (zh) 2021-06-11
US20190369988A1 (en) 2019-12-05
CN108804077A (zh) 2018-11-13
US20210124579A1 (en) 2021-04-29
US10474458B2 (en) 2019-11-12
TWI784372B (zh) 2022-11-21
ES2925598T3 (es) 2022-10-18
US11360767B2 (en) 2022-06-14
PL3859519T3 (pl) 2022-09-05
CN112947893A (zh) 2021-06-11
EP3637247A1 (en) 2020-04-15
CN111666066B (zh) 2021-11-09
US11720355B2 (en) 2023-08-08
TW202420066A (zh) 2024-05-16
PL3637247T3 (pl) 2022-11-21
CN113672197B (zh) 2024-07-02
US20230046506A1 (en) 2023-02-16
EP3637247B1 (en) 2022-08-17
US11080046B2 (en) 2021-08-03
PL3637246T3 (pl) 2022-07-04
EP3937004A1 (en) 2022-01-12
US20180315398A1 (en) 2018-11-01
TW202343467A (zh) 2023-11-01
ES2934080T3 (es) 2023-02-16
ES2929797T3 (es) 2022-12-01
CN112527243A (zh) 2021-03-19
US20210182058A1 (en) 2021-06-17
EP4160387A1 (en) 2023-04-05
US11169799B2 (en) 2021-11-09
US12039331B2 (en) 2024-07-16
EP4242838A2 (en) 2023-09-13
EP4130976A1 (en) 2023-02-08
TWI834576B (zh) 2024-03-01
EP3937004B1 (en) 2022-10-05
CN115185484A (zh) 2022-10-14
EP4242838A3 (en) 2023-09-27
TWI819748B (zh) 2023-10-21
CN111666066A (zh) 2020-09-15
CN113672197A (zh) 2021-11-19
TW202247188A (zh) 2022-12-01
TWI760443B (zh) 2022-04-11
EP3796154A1 (en) 2021-03-24
TW202123253A (zh) 2021-06-16
ES2915607T3 (es) 2022-06-23
US20180315399A1 (en) 2018-11-01
EP3859519B1 (en) 2022-05-25
US20220019431A1 (en) 2022-01-20
EP3396524A1 (en) 2018-10-31
TW202141513A (zh) 2021-11-01
CN112947894B (zh) 2024-07-26
US20240184572A1 (en) 2024-06-06
EP3859519A1 (en) 2021-08-04
EP3637246A1 (en) 2020-04-15
TWI793685B (zh) 2023-02-21
PL3937004T3 (pl) 2023-01-23
CN116755656A (zh) 2023-09-15

Similar Documents

Publication Publication Date Title
CN111666066B (zh) 加速机器学习操作的方法、图形处理单元和数据处理系统
CN110349075B (zh) 低精度机器学习操作的计算优化

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination