CN110349075B - 低精度机器学习操作的计算优化 - Google Patents

低精度机器学习操作的计算优化 Download PDF

Info

Publication number
CN110349075B
CN110349075B CN201910429570.5A CN201910429570A CN110349075B CN 110349075 B CN110349075 B CN 110349075B CN 201910429570 A CN201910429570 A CN 201910429570A CN 110349075 B CN110349075 B CN 110349075B
Authority
CN
China
Prior art keywords
precision
graphics
memory
data
processor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910429570.5A
Other languages
English (en)
Other versions
CN110349075A (zh
Inventor
A.科克
M.麦克弗森
J.雷
姚安邦
L.马
L.赫德
S.巴格索尔基
J.维斯特
A.阿普
B.阿什鲍格
D.金
E.奥尔德-艾哈迈德-瓦尔
P.唐
X.陈
B.拉克什马南
K.尼利斯
M.斯特里克兰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN110349075A publication Critical patent/CN110349075A/zh
Application granted granted Critical
Publication of CN110349075B publication Critical patent/CN110349075B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T1/00General purpose image data processing
    • G06T1/20Processor architectures; Processor configuration, e.g. pipelining
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0811Multiuser, multiprocessor or multiprocessing cache systems with multilevel cache hierarchies
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/167Interprocessor communication using a common memory, e.g. mailbox
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/17Interprocessor communication using an input/output type connection, e.g. channel, I/O port
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/483Computations with numbers represented by a non-linear combination of denominational numbers, e.g. rational numbers, logarithmic number system or floating-point numbers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/57Arithmetic logic units [ALU], i.e. arrangements or devices for performing two or more of the operations covered by groups G06F7/483 – G06F7/556 or for performing logical operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/3001Arithmetic instructions
    • G06F9/30014Arithmetic instructions with variable precision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30181Instruction operation extension or modification
    • G06F9/30185Instruction operation extension or modification according to one or more bits in the instruction, e.g. prefix, sub-opcode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • G06F9/3863Recovery, e.g. branch miss-prediction, exception handling using multiple copies of the architectural state, e.g. shadow registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline or look ahead using instruction pipelines
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units
    • G06F9/3887Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units controlled by a single instruction for multiple data lanes [SIMD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5005Allocation of resources, e.g. of the central processing unit [CPU] to service a request
    • G06F9/5027Allocation of resources, e.g. of the central processing unit [CPU] to service a request the resource being a machine, e.g. CPUs, Servers, Terminals
    • G06F9/5044Allocation of resources, e.g. of the central processing unit [CPU] to service a request the resource being a machine, e.g. CPUs, Servers, Terminals considering hardware capabilities
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/044Recurrent networks, e.g. Hopfield networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/06Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons
    • G06N3/063Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T1/00General purpose image data processing
    • G06T1/60Memory management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/40Specific encoding of data in memory or cache
    • G06F2212/401Compressed data
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/14Digital output to display device ; Cooperation and interconnection of the display device with other functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T15/003D [Three Dimensional] image rendering
    • G06T15/005General purpose rendering architectures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Mathematical Physics (AREA)
  • Artificial Intelligence (AREA)
  • Molecular Biology (AREA)
  • General Health & Medical Sciences (AREA)
  • Computational Linguistics (AREA)
  • Mathematical Optimization (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Pure & Applied Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Neurology (AREA)
  • Nonlinear Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Image Processing (AREA)
  • Image Generation (AREA)
  • Advance Control (AREA)
  • Feedback Control In General (AREA)
  • Numerical Control (AREA)
  • Apparatus For Radiation Diagnosis (AREA)
  • Image Analysis (AREA)

Abstract

低精度机器学习操作的计算优化,一个实施例提供了一种包括动态精度浮点单元的通用图形处理单元,所述动态精度浮点单元包括控制单元,所述控制单元具有精度跟踪硬件逻辑以跟踪与目标精度相关的计算数据的精度位的可用数量,其中动态精度浮点单元包括计算逻辑来以多个精度输出数据。

Description

低精度机器学习操作的计算优化
本申请为于2018年4月27日提交的,申请号为201810392234.3的,标题为《低精度机器学习操作的计算优化》的申请的分案。
技术领域
实施例一般涉及数据处理,并且更具体地涉及经由通用图形处理单元的数据处理。
背景技术
当前并行图形数据处理包括开发成对图形数据执行特定操作(诸如例如线性内插、曲面细分、光栅化、纹理映射、深度测试等)的系统和方法。传统上,图形处理器使用固定功能计算单元来处理图形数据;然而,最近,已经使图形处理器的部分可编程,使此类处理器能够支持用于处理顶点和片段数据的各种各样的操作。
为了进一步增加性能,图形处理器通常实现诸如尝试并行处理遍及图形流水线的不同部分的尽可能多的图形数据的流水线操作的处理技术。具有单指令多线程(SIMT)架构的并行图形处理器被设计成最大化图形流水线中的并行处理的量。在SIMT架构中,并行线程组尝试尽可能经常地一起同步执行程序指令以增加处理效率。用于SIMT架构的软件和硬件的一般概述可以在Shane Cook的CUDA Programming,第3章,第37-51页 (2013)和/或Nicholas Wilt的CUDA Handbook, A Comprehensive Guide to GPU Programming,第2.6.2至3.1.2节(2013年6月)中找到。
附图说明
通过参考实施例可具有本发明的更具体的描述以便可以详细理解本发明的特征,所述实施例中的一些在附图中示出。然而,要注意,附图仅示出了典型实施例,并且因此不被视为限制所有实施例的范围。
图1是示出了配置成实现本文描述的实施例的一个或多个方面的计算机系统的框图。
图2A-2D示出了根据实施例的并行处理器部件。
图3A-3B是根据实施例的图形多处理器的框图。
图4A-4F示出了示范架构,在其中多个GPU通信地耦合到多个多核处理器。
图5示出了根据实施例的图形处理流水线。
图6示出了根据实施例的机器学习软件栈。
图7示出了根据实施例的高度并行通用图形处理单元。
图8示出了根据实施例的多GPU计算系统。
图9A-9B示出了示范深度神经网络的层。
图10示出了示范递归神经网络。
图11示出了深度神经网络的训练和部署。
图12是示出分布式学习的框图。
图13示出了适合用于使用训练模型执行推理的示范推理片上系统(SOC)。
图14示出了根据实施例的动态精度浮点单元的部件。
图15提供根据实施例的相对于动态精度浮点单元的附加细节。
图16示出了根据实施例的动态精度处理系统的线程指派。
图17示出了根据实施例的以低于要求的精度执行数值操作的逻辑。
图18示出了根据实施例的SIMD单元的循环向量化。
图19示出了根据实施例的线程处理系统。
图20示出了根据实施例的指派用于计算的线程的逻辑。
图21示出了可以使用由本文描述的实施例提供的计算逻辑处理的深度神经网络2100。
图22是根据实施例的当执行用于机器学习的低精度操作时防止误差或显著精度损失的逻辑2200的框图。
图23是根据实施例的处理系统的框图。
图24是具有一个或多个处理器核、集成存储器控制器和集成图形处理器的处理器的实施例的框图。
图25是可以是分立图形处理单元或者可以是与多个处理核集成的图形处理器的图形处理器的框图。
图26是根据一些实施例的图形处理器的图形处理引擎的框图。
图27是由附加实施例提供的图形处理器的框图。
图28示出了包括在一些实施例中采用的处理元件阵列的线程执行逻辑。
图29是示出根据一些实施例的图形处理器指令格式的框图。
图30是根据另一实施例的图形处理器的框图。
图31A-31B示出了根据一些实施例的图形处理器命令格式和命令序列。
图32示出了根据一些实施例的用于数据处理系统的示范图形软件架构。
图33是示出根据实施例的IP核开发系统的框图。
图34是示出根据实施例的示范片上系统集成电路的框图。
图35是示出根据实施例的附加图形处理器的框图。
图36是示出根据实施例的片上系统集成电路的附加示范图形处理器的框图。
具体实施方式
在一些实施例中,图形处理单元(GPU)通信地耦合至主机/处理器核以使图形操作、机器学习操作、模式分析操作、以及各种通用GPU(GPGPU)功能加速。GPU可通过总线或另一互连(例如,诸如PCIe或NVLink之类的高速互连)通信地耦合至主机处理器/核。在其他实施例中,GPU可被集成在与核相同的封装或芯片上,并通过内部处理器总线/互连(即,在封装或芯片内部)通信地耦合至核。不管GPU被连接的方式,处理器核都可以以工作描述符中所包含的命令/指令的序列的形式将工作分配给GPU。GPU然后使用专用电路/逻辑以用于高效地处理这些命令/指令。
在以下描述中,阐述了很多特定细节来提供更彻底的理解。然而,将对本领域技术人员显而易见的是,可以在没有这些特定细节中的一个或多个的情况下实践本文中所描述的实施例。在其他实例中,没有描述公知的特征以避免使本发明的实施例的细节模糊。
系统概述
图1是示出了配置成实现本文中所描述的实施例的一个或多个方面的计算系统100的框图。计算系统100包括处理子系统101,所述处理子系统101具有经由互连路径进行通信的一个或多个处理器102和系统存储器104,所述互连路径可以包括存储器中枢105。存储器中枢105可以是芯片组部件内的单独的部件,或者可以集成在所述一个或多个处理器102内。存储器中枢105经由通信链路106与I/O子系统111耦合。I/O子系统111包括I/O中枢107,所述I/O中枢107可以使得计算系统100能够从一个或多个输入设备108接收输入。另外,I/O中枢107可以使得显示控制器能够向一个或多个显示设备110A提供输出,所述显示控制器可以被包括在所述一个或多个处理器102中。在一个实施例中,与I/O中枢107耦合的所述一个或多个显示设备110A可以包括本地的、内部的或嵌入式的显示设备。
在一个实施例中,处理子系统101包括一个或多个并行处理器112,所述一个或多个并行处理器112经由总线或其他通信链路113耦合至存储器中枢105。通信链路113可以是任何数量的基于标准的通信链路技术或协议(诸如但不限于PCI Express)中的一个,或者可以是供应方特定的通信接口或通信结构。在一个实施例中,所述一个或多个并行处理器112形成计算集中的并行或向量处理系统,所述系统包括大量处理核和/或处理集群,诸如集成众核(MIC)处理器。在一个实施例中,所述一个或多个并行处理器112形成图形处理子系统,所述图形处理子系统可以向经由I/O中枢107耦合的所述一个或多个显示设备110A中的一个输出像素。所述一个或多个并行处理器112还可以包括显示控制器和显示接口(未示出)以使能到一个或多个显示设备110B的直接连接。
在I/O子系统111内,系统存储单元114可以连接至I/O中枢107来为计算系统100提供存储机制。I/O开关116可以用于提供接口机制,以使能I/O中枢107与可以集成到平台中的其他部件(诸如网络适配器118和/或无线网络适配器119)和可以经由一个或多个插入式设备120添加的各种其他设备之间的连接。网络适配器118可以是以太网适配器或另一有线网络适配器。无线网络适配器119可以包括如下中的一个或多个:Wi-Fi、蓝牙、近场通信(NFC)或包括一个或多个无线电装置的其他网络设备。
计算系统100可以包括未明确示出的其他部件,所述其他部件包括USB或其他端口连接、光存储驱动器、视频捕获设备等,也可以连接至I/O中枢107。可以使用任何合适的协议,诸如基于PCI(外围部件互连)的协议(例如,PCI-Express),或任何其他总线或点对点通信接口和/或(多个)协议,诸如NV-Link高速互连或本领域中已知的互连协议,来实现将图1中的各种部件互连的通信路径。
在一个实施例中,所述一个或多个并行处理器112结合为了进行图形和视频处理而优化的电路,所述电路包括例如视频输出电路,并且构成图形处理单元(GPU)。在另一实施例中,所述一个或多个并行处理器112结合为了进行通用处理而优化的电路,同时保持本文中较详细地描述的基础计算架构。在又一实施例中,计算系统100的部件可以与一个或多个其他系统元件集成在单个集成电路上。例如,所述一个或多个并行处理器112、存储器中枢105、(多个)处理器102和I/O中枢107可以集成到片上系统(SoC)集成电路中。替代地,计算系统100的部件可以集成到单个封装中以形成系统级封装(SIP)配置。在一个实施例中,计算系统100的部件的至少一部分可以集成到多芯片模块(MCM)中,所述多芯片模块(MCM)可以与其他多芯片模块互连成模块化计算系统。
将领会,本文中示出的计算系统100是说明性的并且变化和修改是可能的。连接拓扑可以按照期望进行修改,所述连接拓扑包括桥的数量和布置、(多个)处理器102的数量和(多个)并行处理器112的数量。例如,在一些实施例中,系统存储器104直接地而不是通过桥连接至(多个)处理器102,而其他设备经由存储器中枢105和(多个)处理器102与系统存储器104进行通信。在其他替代拓扑中,(多个)并行处理器112连接至I/O中枢107或直接连接至所述一个或多个处理器102中的一个,而不是连接至存储器中枢105。在其他实施例中,I/O中枢107和存储器中枢105可以集成到单个芯片中。一些实施例可以包括经由多个插座附接的(多个)处理器102的两个或更多个集合,它们可以与(多个)并行处理器112的两个或更多个实例耦合。
本文中示出的特定部件中的一些是可选的并且可能不被包括在计算系统100的所有实现中。例如,可以支持任何数量的插入式卡或外围设备,或者可以消除一些部件。此外,一些架构可以将不同的术语用于与图1中示出的那些部件类似的部件。例如,在一些架构中存储器中枢105可以被称为北桥,而I/O中枢107可以被称为南桥。
图2A示出了根据实施例的并行处理器200。并行处理器200的各种部件可以使用诸如可编程处理器、专用集成电路(ASIC)或现场可编程门阵列(FPGA)之类的一个或多个集成电路设备来实现。根据实施例,所示出的并行处理器200是图1中示出的所述一个或多个并行处理器112的变体。
在一个实施例中,并行处理器200包括并行处理单元202。该并行处理单元包括I/O单元204,所述I/O单元204使能与包括并行处理单元202的其他实例的其他设备的通信。I/O单元204可以直接连接至其他设备。在一个实施例中,I/O单元204经由诸如存储器中枢105之类的中枢或开关接口的使用来与其他设备连接。存储器中枢105与I/O单元204之间的连接形成通信链路113。在并行处理单元202内,I/O单元204与主机接口206和存储器交叉开关216连接,其中主机接口206接收涉及执行处理操作的命令,并且存储器交叉开关216接收涉及执行存储器操作的命令。
当主机接口206经由I/O单元204接收命令缓冲器时,主机接口206可以将用于执行那些命令的工作操作引导到前端208。在一个实施例中,前端208与调度器210耦合,所述调度器210配置成向处理集群阵列212分发命令或其他工作项目。在一个实施例中,调度器210确保在向处理集群阵列212的处理集群分发任务之前,处理集群阵列212被恰当地配置并且处于有效状态中。在一个实施例中,调度器210经由在微控制器上执行的固件逻辑来实现。微控制器实现的调度器210可配置成以粗糙粒度和精细粒度来执行复杂的调度和工作分发操作,从而使能在处理阵列212上执行的线程的上下文切换和快速抢占(rapidpreemption)。在一个实施例中,主机软件可以经由多个图形处理门铃(doorbell)之一来检验工作负荷用于在处理阵列212上调度。随后工作负荷可以由调度器微控制器内的调度器210逻辑来跨处理阵列212自动地分布。
处理集群阵列212可以包括多达“N”个处理集群(例如,集群214A、集群214B到集群214N)。处理集群阵列212的每个集群214A-214N可以执行大量并发线程。调度器210可以使用各种调度和/或工作分发算法来向处理集群阵列212的集群214A-214N分配工作,所述算法可以根据因为每个类型的程序或计算而产生的工作负荷而变化。调度可以由调度器210动态地处理,或者可以在被配置用于由处理集群阵列212执行的程序逻辑的编译期间由编译器逻辑部分地协助。在一个实施例中,处理集群阵列212的不同集群214A-214N可以被分配用于处理不同类型的程序或用于执行不同类型的计算。
处理集群阵列212可以配置成执行各种类型的并行处理操作。在一个实施例中,处理集群阵列212配置成执行通用并行计算操作。例如,处理集群阵列212可以包括用于执行处理任务的逻辑,所述处理任务包括视频和/或音频数据的过滤、执行包括物理操作的建模操作,以及执行数据变换。
在一个实施例中,处理集群阵列212配置成执行并行图形处理操作。在其中并行处理器200配置成执行图形处理操作的实施例中,处理集群阵列212可以包括用于支持此类图形处理操作的执行的附加逻辑,包括但不限于用于执行纹理操作的纹理采样逻辑以及曲面细分逻辑和其他顶点处理逻辑。另外,处理集群阵列212可以配置成执行图形处理相关的着色器(shader)程序,诸如但不限于顶点着色器、曲面细分着色器、几何着色器和像素着色器。并行处理单元202可以经由I/O单元204传送来自系统存储器的数据以用于处理。在处理期间,经传送的数据可以被在处理期间存储到片上存储器(例如,并行处理器存储器222),然后写回到系统存储器。
在一个实施例中,当并行处理单元202用于执行图形处理时,调度器210可以配置成将处理工作负荷分成近似相等大小的任务,以更好地使得图形处理操作能够分发到处理集群阵列212的多个集群214A-214N。在一些实施例中,处理集群阵列212的各部分可以配置成执行不同类型的处理。例如,第一部分可以配置成执行顶点着色和拓扑生成,第二部分可以配置成执行曲面细分和几何着色,并且第三部分可以配置成执行像素着色或其他屏幕空间操作,以产生用于显示的渲染图像。由集群214A-214N中的一个或多个产生的中间数据可以存储在缓冲器中以允许中间数据在集群214A-214N之间传输以用于进一步处理。
在操作期间,处理集群阵列212可以接收要经由调度器210执行的处理任务,所述调度器210从前端208接收定义处理任务的命令。对于图形处理操作,处理任务可以包括要处理的数据以及定义要如何处理数据(例如,要执行什么程序)的状态参数和命令的索引,所述数据例如表面(补丁(patch))数据、图元(primitive)数据、顶点数据和/或像素数据。调度器210可以配置成获取对应于任务的索引或者可以从前端208接收索引。前端208可以配置成确保处理集群阵列212在由传入命令缓冲器(例如,批处理缓冲器、推(push)缓冲器等)指定的工作负荷发起之前被配置成有效状态。
并行处理单元202的一个或多个实例中的每个可以与并行处理器存储器222耦合。并行处理器存储器222可以经由存储器交叉开关216来访问,所述存储器交叉开关216可以从处理集群阵列212以及I/O单元204接收存储器请求。存储器交叉开关216可以经由存储器接口218访问并行处理器存储器222。存储器接口218可以包括多个分区单元(例如,分区单元220A、分区单元220B至分区单元220N),它们可以各自耦合至并行处理器存储器222的一部分(例如,存储器单元)。在一个实现中,分区单元220A-220N的数量被配置成等于存储器单元的数量,使得第一分区单元220A具有对应的第一存储器单元224A,第二分区单元220B具有对应的存储器单元224B,并且第N分区单元220N具有对应的第N存储器单元224N。在其他实施例中,分区单元220A-220N的数量可能不等于存储器设备的数量。
在各种实施例中,存储器单元224A-224N可以包括各种类型的存储器设备,包括动态随机存取存储器(DRAM)或图形随机存取存储器,诸如同步图形随机存取存储器(SGRAM),包括图形双倍数据速率(GDDR)存储器。在一个实施例中,存储器单元224A-224N还可以包括3D堆叠式存储器,包括但不限于高带宽存储器(HBM)。本领域技术人员将领会,存储器单元224A-224N的具体实现可以变化,并且可以选自各种常规设计中的一个。可以跨存储器单元224A-224N存储诸如帧缓冲器或纹理映射(map)之类的渲染目标,从而允许分区单元220A-220N并行地写入每个渲染目标的部分,以高效地使用并行处理器存储器222的可用带宽。在一些实施例中,可以排除并行处理器存储器222的本地实例,以支持利用系统存储器连同本地高速缓冲存储器的统一存储器设计。
在一个实施例中,处理集群阵列212的集群214A-214N中的任一个可以处理将写入到并行处理器存储器222内的存储器单元224A-224N中的任何的数据。存储器交叉开关216可以配置成将每个集群214A-214N的输出传送到任何分区单元220A-220N或另一集群214A-214N,其可以对输出执行附加处理操作。每个集群214A-214N可以通过存储器交叉开关216与存储器接口218进行通信以从各种外部存储器设备读取或写入到各种外部存储器设备。在一个实施例中,存储器交叉开关216具有至存储器接口218的连接,用以与I/O单元204通信,以及至并行处理器存储器222的本地实例的连接,从而使得不同的处理集群214A-214N内的处理单元能够与系统存储器或对于并行处理单元202而言非本地的其他存储器进行通信。在一个实施例中,存储器交叉开关216可以使用虚拟信道来分离集群214A-214N与分区单元220A-220N之间的业务流。
虽然在并行处理器200内示出了并行处理单元202的单个实例,但是可以包括并行处理单元202的任何数量的实例。例如,可以在单个插入式卡上提供并行处理单元202的多个实例,或者可以使多个插入式卡互连。即使并行处理单元202的不同实例具有不同数量的处理核、不同量的本地并行处理器存储器和/或其他配置差异,该不同实例也可以配置成互操作。例如并且在一个实施例中,并行处理单元202的一些实例可以包括相对于其他实例更高精度的浮点单元。结合并行处理单元202或并行处理器200的一个或多个实例的系统可以以多种配置和形状因数来实现,包括但不限于台式计算机、膝上型计算机或手持式个人计算机、服务器、工作站、游戏控制台和/或嵌入式系统。
图2B是根据实施例的分区单元220的框图。在一个实施例中,分区单元220是图2A的分区单元220A-220N中的一个的实例。如所示出的,分区单元220包括L2高速缓存221、帧缓冲器接口225和ROP 226(光栅操作单元)。L2高速缓存221是配置成执行从存储器交叉开关216和ROP 226所接收的加载和存储操作的读取/写入高速缓存。L2高速缓存221向帧缓冲器接口225输出读取未命中和紧急回写请求以用于处理。也可以经由帧缓冲器接口225向帧缓冲器发送更新以用于处理。在一个实施例中,帧缓冲器接口225与并行处理器存储器中的存储器单元中的一个对接,所述存储器单元诸如(例如,在并行处理器存储器222内的)图2的存储器单元224A-224N。
在图形应用中,ROP 226是执行诸如模板印刷(stencil)、z检验、混合等的光栅操作的处理单元。ROP 226然后输出经处理的图形数据,其被存储在图形存储器中。在一些实施例中,ROP 226包括压缩逻辑,用以压缩写入到存储器的深度或颜色数据以及对从存储器读取的深度或颜色数据解压缩。压缩逻辑可以是利用多种压缩算法中的一种或多种压缩算法的无损压缩逻辑。由ROP 226所执行的压缩的类型可以基于待压缩的数据的统计特性而变化。例如,在一个实施例中,△颜色压缩在逐图块(per-tile)的基础上对深度和颜色数据执行。
在一些实施例中,ROP 226被包括在每个处理集群(例如,图2的集群214A-214N)内而不是分区单元220内。在此类实施例中,通过存储器交叉开关216传输针对像素数据的读取和写入请求,而不是像素片段数据。经处理的图形数据可以显示在显示设备(诸如图1的一个或多个显示设备110中的一个)上,被路由以用于由(多个)处理器102进一步处理,或者被路由以用于由图2A的并行处理器200内的处理实体中的一个进一步处理。
图2C是根据实施例的并行处理单元内的处理集群214的框图。在一个实施例中,处理集群是图2的处理集群214A-214N中的一个的实例。处理集群214可以配置成并行地执行多个线程,其中术语“线程”是指在一组特定输入数据上执行的特定程序的实例。在一些实施例中,在不提供多个独立的指令单元的情况下,使用单指令多数据(SIMD)指令发布技术来支持大量线程的并行执行。在其他实施例中,单指令多线程(SIMT)技术被用于使用公用指令单元来支持大量一般同步的线程的并行执行,所述公用指令单元配置成向处理集群中的每一个内的一组处理引擎发布指令。与其中所有处理引擎通常执行相同指令的SIMD执行制度不同,SIMT执行允许不同线程以通过给定线程程序更容易地遵循有分歧的执行路径。本领域技术人员将理解,SIMD处理制度表示SIMT处理制度的功能子集。
处理集群214的操作可以经由向SIMT并行处理器分发处理任务的流水线管理器232来控制。流水线管理器232从图2的调度器210接收指令并且经由图形多处理器234和/或纹理单元236来管理那些指令的执行。所示出的图形多处理器234是SIMT并行处理器的示范实例。然而,不同架构的各种类型的SIMT并行处理器可以被包括在处理集群214内。图形多处理器234的一个或多个实例可以被包括在处理集群214内。图形多处理器234可以处理数据,并且数据交叉开关240可以用于将经处理的数据分发到包括其他着色器单元的多个可能目的地中的一个。流水线管理器232可以通过为将经由数据交叉开关240分发的经处理的数据指定目的地来促进经处理的数据的分发。
处理集群214内的每个图形多处理器234可以包括一组相同的功能执行逻辑(例如,算术逻辑单元、加载-存储单元等)。功能执行逻辑可以以流水线化方式进行配置,其中可以在完成先前的指令之前发布新的指令。功能执行逻辑支持多种操作,包括整数和浮点算术、比较操作、布尔操作、移位和各种代数函数的计算。在一个实施例中,可以利用相同的功能单元硬件来执行不同的操作,并且可能存在功能单元的任何组合。
传输到处理集群214的指令构成线程。跨并行处理引擎的集合执行的线程的集合是线程组。线程组对不同的输入数据执行相同的程序。线程组内的每个线程可以被指派给图形多处理器234内的不同的处理引擎。线程组可以包括比图形多处理器234内的处理引擎的数量更少的线程。当线程组包括比处理引擎的数量更少的线程时,处理引擎中的一个或多个可能在该线程组被处理的周期期间空闲。线程组还可以包括比图形多处理器234内的处理引擎的数量更多的线程。当线程组包括比图形多处理器234内的处理引擎的数量更多的线程时,可以在连续的时钟周期内执行处理。在一个实施例中,可以在图形多处理器234上同时执行多个线程组。
在一个实施例中,图形多处理器234包括用于执行加载和存储操作的内部高速缓冲存储器。在一个实施例中,图形多处理器234可以放弃内部高速缓存而是使用处理集群214内的高速缓冲存储器(例如,L1高速缓存308)。每个图形多处理器234还能够访问在所有处理集群214之间共享并且可以用于在线程之间传送数据的分区单元(例如,图2的分区单元220A-220N)内的L2高速缓存。图形多处理器234还可以访问芯片外全局存储器,所述芯片外全局存储器可以包括本地并行处理器存储器和/或系统存储器中的一个或多个。并行处理单元202外部的任何存储器可以用作全局存储器。其中处理集群214包括图形多处理器234的多个实例的实施例可以共享可以存储在L1高速缓存308中的公用指令和数据。
每个处理集群214可以包括配置成将虚拟地址映射成物理地址的MMU 245(存储器管理单元)。在其他实施例中,MMU 245的一个或多个实例可以驻留在图2的存储器接口218内。MMU 245包括一组页表条目(PTE),其用于将虚拟地址映射成图块(tile)的物理地址(更多谈到分块)并且可选地映射成高速缓存行索引。MMU 245可以包括地址转换后备缓冲器(TLB)或高速缓存,它们可以驻留在图形多处理器234或L1高速缓存或处理集群214内。处理物理地址以分发表面数据访问局部性,以允许分区单元之间的高效请求交织。可以使用高速缓存行索引来确定对高速缓存行的请求是命中还是未命中。
在图形和计算应用中,处理集群214可以配置成使得每个图形多处理器234耦合至纹理单元236以用于执行纹理映射操作,例如确定纹理样本位置、读取纹理数据和过滤纹理数据。根据需要,从(未示出的)内部纹理L1高速缓存或者在一些实施例中从图形多处理器234内的L1高速缓存读取并且从L2高速缓存、本地并行处理器存储器或系统存储器获取纹理数据。每个图形多处理器234向数据交叉开关240输出经处理任务以向另一处理集群214提供该经处理任务用于进一步处理或以经由存储器交叉开关216将该经处理任务存储在L2高速缓存、本地并行处理器存储器或系统存储器中。preROP 242(预先光栅操作单元)配置成从图形多处理器234接收数据,将数据引导到ROP单元,所述ROP单元可以与如本文中所描述的分区单元(例如,图2的分区单元220A-220N)位于一起。preROP 242单元可以执行对颜色混合的优化、组织像素颜色数据并执行地址转换。
将领会,本文中所描述的核架构是说明性的并且变化和修改是可能的。任何数量的处理单元,例如图形多处理器234、纹理单元236、preROP 242等,可以被包括在处理集群214内。进一步地,虽然仅示出一个处理集群214,但如本文中所描述的并行处理单元可以包括处理集群214的任何数量的实例。在一个实施例中,每个处理集群214可以配置成使用分离且不同的处理单元、L1高速缓存等来独立于其他处理集群214进行操作。
图2D示出了根据一个实施例的图形多处理器234。在此类实施例中,图形多处理器234与处理集群214的流水线管理器232耦合。图形多处理器234具有执行流水线,所述执行流水线包括但不限于指令高速缓存252、指令单元254、地址映射单元256、寄存器堆258、一个或多个通用图形处理单元(GPGPU)核262和一个或多个加载/存储单元266。GPGPU核262和加载/存储单元266经由存储器和高速缓存互连268与高速缓冲存储器272和共享存储器270耦合。
在一个实施例中,指令高速缓存252从流水线管理器232接收要执行的指令流。所述指令被高速缓存在指令高速缓存252中并被分派以用于由指令单元254执行。指令单元254可以将指令分派为线程组(例如,线程束(warp)),其中线程组的每个线程被指派给GPGPU核262内的不同执行单元。指令可以通过指定统一地址空间内的地址来访问本地、共享或全局地址空间中的任何地址空间。地址映射单元256可以用于将统一地址空间中的地址转换成可由加载/存储单元266访问的不同存储器地址。
寄存器堆258为图形多处理器324的功能单元提供一组寄存器。寄存器堆258为连接至图形多处理器324的功能单元(例如,GPGPU核262、加载/存储单元266)的数据路径的操作数提供临时存储。在一个实施例中,在功能单元中的每个之间对寄存器堆258进行划分,使得每个功能单元被分配寄存器堆258的专用部分。在一个实施例中,在正由图形多处理器324执行的不同线程束之间对寄存器堆258进行划分。
GPGPU核262可以每个包括用于执行图形多处理器324的指令的浮点单元(FPU)和/或整数算术逻辑单元(ALU)。根据实施例,GPGPU核262可以在架构方面类似,或者可以在架构方面不同。例如并且在一个实施例中,GPGPU核262的第一部分包括单精度FPU和整数ALU,而GPGPU核的第二部分包括双精度FPU。在一个实施例中,FPU可以实现针对浮点算术的IEEE754-2008标准或使能可变精度浮点算术。图形多处理器324可以附加地包括一个或多个固定功能或特殊功能单元,用以执行诸如复制矩形或像素混合操作之类的特定功能。在一个实施例中,GPGPU核中的一个或多个还可以包括固定或特殊功能逻辑。
在一个实施例中,GPGPU核262包括能够对多组数据执行单指令的SIMD逻辑。在一个实施例中,GPGPU核262可以物理地执行SIMD4、SIMD8和SIMD16指令,并且逻辑地执行SIMD1、SIMD2和SIMD32指令。用于GPGPU核的SIMD指令可以由着色器编译器在编译时间生成,或者可以在执行针对单程序多数据(SPMD)或SIMT架构编写和编译的程序时自动生成。针对SIMT执行模型而配置的程序的多个线程可以经由单SIMD指令而执行。例如且在一个实施例中,执行相同或类似操作的八个SIMT线程可以经由单个SIMD8逻辑单元并行地执行。
存储器和高速缓存互连268是互连网络,所述互连网络将图形多处理器324的功能单元中的每个连接至寄存器堆258和共享存储器270。在一个实施例中,存储器和高速缓存互连268是允许加载/存储单元266在共享存储器270与寄存器堆258之间实现加载和存储操作的交叉开关互连。寄存器堆258可以以与GPGPU核262相同的频率进行操作,因此GPGPU核262与寄存器堆258之间的数据传送具有非常低的等待时间。共享存储器270可以用于使能在图形多处理器234内的功能单元上执行的线程之间的通信。例如,高速缓冲存储器272可以用作数据高速缓存,以高速缓存在功能单元与纹理单元236之间传送的纹理数据。共享存储器270也可以用作经高速缓存的受管理的程序。除了在高速缓冲存储器272内存储的经自动地高速缓存的数据之外,在GPGPU核262上执行的线程还可以在共享存储器内以编程方式存储数据。
图3A-3B示出了根据实施例的附加图形多处理器。所示出的图形多处理器325、350是图2C的图形多处理器234的变体。所示出的图形多处理器325、350可以被配置为能够同时执行大量执行线程的流式多处理器(SM)。
图3A示出了根据附加实施例的图形多处理器325。图形多处理器325包括与图2D的图形多处理器234有关的执行资源单元的多个附加实例。例如,图形多处理器325可以包括指令单元332A-332B、寄存器堆334A-334B和(多个)纹理单元344A-344B的多个实例。图形多处理器325还包括多组图形或计算执行单元(例如,GPGPU核336A-336B、GPGPU核337A-337B、GPGPU核338A-338B)和多组加载/存储单元340A-340B。在一个实施例中,执行资源单元具有公用指令高速缓存330、纹理和/或数据高速缓冲存储器342和共享存储器346。
各种部件可以经由互连结构327进行通信。在一个实施例中,互连结构327包括一个或多个交叉开关,用以使能图形多处理器325的各种部件之间的通信。在一个实施例中,互连结构327是分开的高速网络结构层,图形多处理器325的每个部件堆叠在该分开的高速网络结构层上。图形多处理器325的部件经由互连结构327与远程部件通信。例如,GPGPU核336A-336B、337A-337B以及3378A-338B可以各自经由互连结构327与共享存储器346通信。互连结构327可以仲裁图形多处理器325内的通信以确保部件之间的公平带宽分配。
图3B示出了根据附加实施例的图形多处理器350。该图形处理器包括多组执行资源356A-356D,其中每组执行资源包括多个指令单元、寄存器堆、GPGPU核和加载存储单元,如图2D和图3A中所示出的。执行资源356A-356D可以与(多个)纹理单元360A-360D一致地工作以用于纹理操作,同时共享了指令高速缓存354和共享存储器362。在一个实施例中,执行资源356A-356D可以共享指令高速缓存354和共享存储器362以及纹理和/或数据高速缓冲存储器358A-358B的多个实例。各种部件可以经由与图3A的互连结构327类似的互连结构352进行通信。
本领域技术人员将理解,图1、2A-2D和3A-3B中所描述的架构就本发明的实施例的范围而言是描述性的而非限制性的。因此,本文中所描述的技术可以在任何恰当地配置的处理单元上实现,所述处理单元包括但不限于一个或多个移动应用处理器、一个或多个台式计算机或服务器中央处理单元(CPU)(包括多核CPU)、一个或多个并行处理单元(诸如图2的并行处理单元202)、以及一个或多个图形处理器或专用处理单元,而不脱离本文中所描述的实施例的范围。
在一些实施例中,如本文中所描述的并行处理器或GPGPU通信地耦合至主机/处理器核以使图形操作、机器学习操作、模式分析操作和各种通用GPU(GPGPU)功能加速。GPU可以通过总线或其他互连(例如,诸如PCIe或NVLink之类的高速互连)通信地耦合至主机处理器/核。在其他实施例中,GPU可以集成在与核相同的封装或芯片上并且通过内部处理器总线/互连(即,在封装或芯片内部)通信地耦合至所述核。不管GPU被连接的方式,处理器核都可以以工作描述符中包含的命令/指令序列的形式向GPU分配工作。GPU然后使用专用电路/逻辑以用于高效地处理这些命令/指令。
用于GPU到主机处理器互连的技术
图4A示出了其中多个GPU 410-413通过高速链路440-443(例如,总线、点对点互连等)通信地耦合至多个多核处理器405-406的示范架构。在一个实施例中,高速链路440-443支持4GB/s、30GB/s、80GB/s或更高的通信吞吐量,这取决于实现。可以使用各种互连协议,包括但不限于PCIe 4.0或5.0和NVLink 2.0。然而,本发明的基本原理不限于任何特定的通信协议或吞吐量。
另外,在一个实施例中,GPU 410-413中的两个或更多个通过高速链路444-445互连,所述高速链路444-445可以使用与用于高速链路440-443的那些协议/链路相同或不同的协议/链路来实现。类似地,多核处理器405-406中的两个或更多个可以通过高速链路433连接,所述高速链路433可以是以20GB/s、30GB/s、120GB/s或更高来操作的对称多处理器(SMP)总线。替代地,图4A中示出的各种系统部件之间的所有通信可以使用相同的协议/链路(例如,通过公用互连结构)来完成。然而,如所提及的,本发明的基本原理不限于任何特定类型的互连技术。
在一个实施例中,每个多核处理器405-406分别经由存储器互连430-431通信地耦合至处理器存储器401-402,并且每个GPU 410-413分别通过GPU存储器互连450-453通信地耦合至GPU存储器420-423。存储器互连430-431和450-453可以利用相同或不同的存储器访问技术。作为示例而非限制,处理器存储器401-402和GPU存储器420-423可以是易失性存储器,诸如动态随机存取存储器(DRAM)(包括堆叠式DRAM)、图形DDR SDRAM(GDDR)(例如,GDDR5、GDDR6)或高带宽存储器(HBM),和/或可以是非易失性存储器,诸如3D XPoint或Nano-Ram。在一个实施例中,存储器的某个部分可以是易失性存储器并且另一部分可以是非易失性存储器(例如,使用两级存储器(2LM)层级结构(hierarchy))。
如以下所描述的,尽管各种处理器405-406和GPU 410-413可以分别物理地耦合至特定存储器401-402、420-423,但可以实现统一的存储器架构,其中相同的虚拟系统地址空间(也称为“有效地址”空间)分布在所有各种物理存储器之中。例如,处理器存储器401-402可以每个包括64GB的系统存储器地址空间,并且GPU存储器420-423可以每个包括32GB的系统存储器地址空间(在该示例中导致总共256GB的可寻址存储器)。
图4B示出了依照一个实施例的多核处理器407与图形加速模块446之间的互连的附加细节。图形加速模块446可以包括集成在经由高速链路440耦合至处理器407的线卡上的一个或多个GPU芯片。替代地,图形加速模块446可以集成在与处理器407相同的封装或芯片上。
所示出的处理器407包括多个核460A-460D,每个具有转换后备缓冲器461A-461D和一个或多个高速缓存462A-462D。所述核可以包括用于执行指令和处理数据的各种其他部件(例如,指令获取单元、分支预测单元、解码器、执行单元、重排序缓冲器等),其未被示出以避免模糊本发明的基本原理。高速缓存462A-462D可以包括1级(L1)和2级(L2)高速缓存。另外,一个或多个共享高速缓存426可以被包括在高速缓存层级结构中并由核460A-460D的集合共享。例如,处理器407的一个实施例包括24个核,每个具有它自己的L1高速缓存、12个共享的L2高速缓存和12个共享的L3高速缓存。在该实施例中,L2高速缓存和L3高速缓存中的一个由两个相邻核共享。处理器407和图形加速器集成模块446与系统存储器441连接,所述系统存储器441可以包括处理器存储器401-402。
通过一致性总线464经由核间通信来为各种高速缓存462A-462D、456和系统存储器441中存储的数据和指令维持一致性。例如,每个高速缓存可以具有与其相关联的高速缓存一致性逻辑/电路,以响应于所检测的对特定高速缓存行的读取或写入而通过一致性总线464进行通信。在一个实现中,通过一致性总线464实现高速缓存窥探协议以窥探高速缓存访问。高速缓存窥探/一致性技术被本领域技术人员良好地理解,并且将不在这里详细地描述以避免模糊本发明的基本原理。
在一个实施例中,代理电路425将图形加速模块446通信地耦合至一致性总线464,从而允许图形加速模块446作为核的对等体参与高速缓存一致性协议。具体地,接口435通过高速链路440(例如,PCIe总线、NVLink等)向代理电路425提供连接性,并且接口437将图形加速模块446连接至高速链路440。
在一个实现中,加速器集成电路436代表图形加速模块446的多个图形处理引擎431、432、N提供高速缓存管理、存储器访问、上下文管理和中断管理服务。图形处理引擎431、432、N可以每个包括单独的图形处理单元(GPU)。替代地,图形处理引擎431、432、N可以包括GPU内的不同类型的图形处理引擎,诸如图形执行单元、媒体处理引擎(例如,视频编码器/解码器)、采样器和位块传输引擎。换言之,图形加速模块可以是具有多个图形处理引擎431-432、N的GPU,或图形处理引擎431-432、N可以是集成在公用封装、线卡或芯片上的单独的GPU。
在一个实施例中,加速器集成电路436包括存储器管理单元(MMU)439,用于执行诸如虚拟到物理存储器转换(也称为有效到实存储器转换)之类的各种存储器管理功能和用于访问系统存储器441的存储器访问协议。MMU 439还可以包括转换后备缓冲器(TLB)(未示出),用于高速缓存虚拟/有效到物理/实际地址转换。在一个实现中,高速缓存438存储命令和数据,用于由图形处理引擎431-432、N高效访问。在一个实施例中,使高速缓存438和图形存储器433-434、N中存储的数据与核高速缓存462A-462D、456和系统存储器411保持一致。如所提及的,这可以经由代理电路425来完成,所述代理电路425代表高速缓存438和存储器433-434、N参与高速缓存一致性机制(例如,向高速缓存438发送与处理器高速缓存462A-462D、456上的高速缓存行的修改/访问相关的更新并从高速缓存438接收更新)。
一组寄存器445为由图形处理引擎431-432、N执行的线程存储上下文数据,并且上下文管理电路448管理线程上下文。例如,上下文管理电路448可以执行保存和恢复操作以在上下文切换(例如,其中保存第一线程并且存储第二线程以使得第二线程可以由图形处理引擎执行)期间保存和恢复各种线程的上下文。例如,在上下文切换时,上下文管理电路448可以将当前寄存器值存储到(例如,由上下文指针标识的)存储器中的指定区域。其于是可以在返回到该上下文时恢复寄存器值。在一个实施例中,中断管理电路447接收并处理从系统设备所接收的中断。
在一个实现中,由MMU 439将来自图形处理引擎431的虚拟/有效地址转换成系统存储器411中的实/物理地址。加速器集成电路436的一个实施例支持多个(例如,4个、8个、16个)图形加速器模块446和/或其他加速器设备。图形加速器模块446可以专用于在处理器407上执行的单个应用,或者可以在多个应用之间共享。在一个实施例中,呈现虚拟化的图形执行环境,其中图形处理引擎431-432、N的资源与多个应用或虚拟机(VM)共享。资源可以被细分成“切片(slice)”,所述切片被基于与VM和/或应用相关联的处理要求和优先级而分配给不同的VM和/或应用。
因此,加速器集成电路充当到图形加速模块446的系统的桥,并提供地址转换和系统存储器高速缓存服务。另外,加速器集成电路436可以为主机处理器提供虚拟化设施以管理对图形处理引擎、中断和存储器管理的虚拟化。
因为图形处理引擎431-432、N的硬件资源被显式地映射到由主机处理器407看到的实际地址空间,所以任何主机处理器都可以使用有效地址值对这些资源进行直接寻址。在一个实施例中,加速器集成电路436的一个功能是图形处理引擎431-432、N的物理分离,使得它们对系统表现为独立单元。
如所提及的,在所示出的实施例中,一个或多个图形存储器433-434、M分别耦合至图形处理引擎431-432、N中的每个。图形存储器433-434、M存储正由图形处理引擎431-432、N中的每个处理的指令和数据。图形存储器433-434、M可以是易失性存储器,诸如DRAM(包括堆叠式DRAM)、GDDR存储器(例如,GDDR5、GDDR6)或HBM,和/或可以是非易失性存储器,诸如3D XPoint或Nano-Ram。
在一个实施例中,为了减少高速链路440上的数据业务,使用偏置技术来确保图形存储器433-434、M中存储的数据是将被图形处理引擎431-432、N最频繁地使用并且核460A-460D优选不使用(至少不频繁地使用)的数据。类似地,偏置机制试图使核(并且优选地不是图形处理引擎431-432、N)所需的数据保持在核的高速缓存462A-462D、456和系统存储器411内。
图4C示出了其中加速器集成电路436集成在处理器407内的另一实施例。在该实施例中,图形处理引擎431-432、N经由接口437和接口435(再次,其可以利用任何形式的总线或接口协议)通过高速链路440与加速器集成电路436直接通信。加速器集成电路436可以执行与关于图4B所描述的那些操作相同的操作,但考虑到其紧密接近于一致性总线462和高速缓存462A-462D、426,可能以较高的吞吐量执行操作。
一个实施例支持不同的编程模型,其包括专用进程编程模型(没有图形加速模块虚拟化)和共享编程模型(具有虚拟化)。共享编程模型可以包括由加速器集成电路436控制的编程模型和由图形加速模块446控制的编程模型。
在专用进程模型的一个实施例中,图形处理引擎431-432、N在单个操作系统下专用于单个应用或进程。该单个应用可以将其他应用请求汇集到图形引擎431-432、N,从而在VM/分区内提供虚拟化。
在专用进程编程模型中,图形处理引擎431-432、N可以由多个VM/应用分区共享。共享的模型要求系统管理程序将图形处理引擎431-432、N虚拟化,以允许由每个操作系统的访问。对于没有管理程序的单分区系统,图形处理引擎431-432、N由操作系统拥有(own)。在两个情况下,操作系统可以将图形处理引擎431-432、N虚拟化以提供对每个进程或应用的访问。
对于共享编程模型,图形加速模块446或单独的图形处理引擎431-432、N使用进程句柄来选择进程元素。在一个实施例中,进程元素被存储在系统存储器411中并且可使用本文中所描述的有效地址到实际地址转换技术来寻址。进程句柄可以是在向图形处理引擎431-432、N登记它的上下文(即,调用系统软件以向进程元素链表添加进程元素)时提供给主机进程的实现特定的值。进程句柄的较低16位可以是进程元素链表内的进程元素的偏移。
图4D示出了示范加速器集成切片490。如本文中所使用的,“切片”包括加速器集成电路436的处理资源的指定部分。系统存储器411内的应用有效地址空间482存储进程元素483。在一个实施例中,响应于来自在处理器407上执行的应用480的GPU调用481而存储进程元素483。进程元素483包含针对对应的应用480的进程状态。进程元素483中包含的工作描述符(WD)484可以是应用所请求的单个作业,或者可以包含指向作业队列的指针。在后面的情况下,WD 484是指向应用的地址空间482中的作业请求队列的指针。
图形加速模块446和/或单独的图形处理引擎431-432、N可以由系统中的进程的全部或子集所共享。本发明的实施例包括用于建立进程状态并向图形加速模块446发送WD484以在虚拟化环境中开始作业的基础结构。
在一个实现中,专用进程编程模型是实现特定的。在该模型中,单个进程拥有图形加速模块446或单独的图形处理引擎431。因为图形加速模块446由单个进程拥有,所以管理程序针对拥有的分区来初始化加速器集成电路436,并且操作系统在图形加速模块446被指派时针对拥有的进程来初始化加速器集成电路436。
在操作中,加速器集成切片490中的WD获取单元491获取下一个WD 484,所述下一个WD 484包括对要由图形加速模块446的图形处理引擎中的一个完成的工作的指示。来自WD 484的数据可以被存储在寄存器445中并由如所示出的MMU 439、中断管理电路447和/或上下文管理电路448使用。例如,MMU 439的一个实施例包括用于访问OS虚拟地址空间485内的段/页表486的段/页行走电路(walk circuitry)。中断管理电路447可以处理从图形加速模块446所接收的中断事件492。当执行图形操作时,由MMU 439将图形处理引擎431-432、N生成的有效地址493转换成实际地址。
在一个实施例中,针对每个图形处理引擎431-432、N和/或图形加速模块446复制同一组寄存器445,并且可以由管理程序或操作系统初始化该同一组寄存器445。这些复制的寄存器中的每个可以被包括在加速器集成切片490中。表1中示出了可以由管理程序初始化的示范寄存器。
表1 - 管理程序初始化的寄存器
1 切片控制寄存器
2 实际地址(RA)调度的进程区域指针
3 权限掩蔽覆盖寄存器
4 中断向量表条目偏移
5 中断向量表条目限制
6 状态寄存器
7 逻辑分区ID
8 实际地址(RA)管理程序加速器利用记录指针
9 存储描述寄存器
表2中示出了可以由操作系统初始化的示范寄存器。
表2 - 操作系统初始化的寄存器
1 进程和线程标识
2 有效地址(EA)上下文保存/恢复指针
3 虚拟地址(VA)加速器利用记录指针
4 虚拟地址(VA)存储段表指针
5 权限掩蔽
6 工作描述符
在一个实施例中,每个WD 484特定于特定图形加速模块446和/或图形处理引擎431-432、N。其包含图形处理引擎431-432、N做其工作所需的所有信息,或者其可以是指向在其处应用已经建立要完成的工作的命令队列的存储器位置的指针。
图4E示出了共享模型的一个实施例的附加细节。该实施例包括其中存储了进程元素列表499的管理程序实际地址空间498。管理程序实际地址空间498可经由管理程序496来访问,所述管理程序496将用于操作系统495的图形加速模块引擎虚拟化。
共享编程模型允许来自系统中的分区的全部或子集的进程的全部或子集使用图形加速模块446。有两个编程模型,其中图形加速模块446由多个进程和分区共享:时间切片共享和图形定向共享。
在该模型中,系统管理程序496拥有图形加速模块446并且使其功能对所有操作系统495可用。为使图形加速模块446支持由系统管理程序496进行的虚拟化,图形加速模块446可以遵守以下要求:1)应用的作业请求必须是自主的(即,不需要在作业之间维持状态),或者图形加速模块446必须提供上下文保存和恢复机制。2)图形加速模块446保证在指定时间量内完成应用的作业请求,包括任何转换故障,或者图形加速模块446提供抢占对作业的处理的能力。3)当以定向共享编程模型操作时,必须在进程之间保证图形加速模块446的公平性。
在一个实施例中,对于共享模型,要求应用480利用图形加速模块446类型、工作描述符(WD)、权限掩蔽寄存器(AMR)值以及上下文保存/恢复区域指针(CSRP)来做出操作系统495系统调用。图形加速模块446类型描述了用于系统调用的目标加速功能。图形加速模块446类型可以是系统特定的值。WD被特别针对图形加速模块446来格式化,并且可以采用以下形式:图形加速模块446命令、指向用户定义结构的有效地址指针、指向命令队列的有效地址指针、或用于描述要由图形加速模块446完成的工作的任何其他数据结构。在一个实施例中,AMR值是用于当前进程的AMR状态。传递给操作系统的值与设置AMR的应用类似。如果加速器集成电路436和图形加速模块446的实现不支持用户权限掩蔽覆盖寄存器(UAMOR),则操作系统可以在在管理程序调用中传递AMR之前向AMR值应用当前UAMOR值。在将AMR置于进程元素483中之前,管理程序496可以可选地应用当前权限掩蔽覆盖寄存器(AMOR)值。在一个实施例中,CSRP是寄存器445中的一个,其包含应用的地址空间482中的区域的有效地址以用于使图形加速模块446保存和恢复上下文状态。如果不要求在作业之间保存状态或当作业被抢占时,该指针是可选的。上下文保存/恢复区域可以是固定的(pinned)系统存储器。
在接收到系统调用时,操作系统495可以验证应用480已注册并被给予使用图形加速模块446的权限。操作系统495然后利用表3中示出的信息来调用管理程序496。
表3 - OS对管理程序调用参数
1 工作描述符(WD)
2 (可能被掩蔽的)权限掩蔽寄存器(AMR)值
3 有效地址(EA)上下文保存/恢复区域指针(CSRP)
4 进程ID(PID)和可选的线程ID(TID)
5 虚拟地址(VA)加速器利用记录指针(AURP)
6 存储段表指针(SSTP)的虚拟地址
7 逻辑中断服务号(LISN)
在接收到管理程序调用时,管理程序496验证操作系统495已注册并被给予使用图形加速模块446的权限。管理程序496然后将进程元素483放入针对对应的图形加速模块446类型的进程元素链表中。进程元素可以包括表4中示出的信息。
表4 - 进程元素信息
1 工作描述符(WD)
2 (可能被掩蔽的)权限掩蔽寄存器(AMR)值
3 有效地址(EA)上下文保存/恢复区域指针(CSRP)
4 进程ID(PID)和可选的线程ID(TID)
5 虚拟地址(VA)加速器利用记录指针(AURP)
6 存储段表指针(SSTP)的虚拟地址
7 逻辑中断服务号(LISN)
8 从管理程序调用参数导出的中断向量表
9 状态寄存器(SR)值
10 逻辑分区ID(LPID)
11 实际地址(RA)管理程序加速器利用记录指针
12 存储描述符寄存器(SDR)
在一个实施例中,管理程序初始化多个加速器集成切片490寄存器445。
如图4F中所示出的,本发明的一个实施例采用可经由用于访问物理处理器存储器401-402和GPU存储器420-423的公用虚拟存储器地址空间来寻址的统一存储器。在该实现中,在GPU 410-413上执行的操作利用相同的虚拟/有效存储器地址空间来访问处理器存储器401-402,并且反之亦然,由此简化可编程性。在一个实施例中,将虚拟/有效地址空间的第一部分分配给处理器存储器401,将第二部分分配给第二处理器存储器402,将第三部分分配给GPU存储器420,以此类推。整个虚拟/有效存储器空间(有时称为有效地址空间)由此跨处理器存储器401-402和GPU存储器420-423中的每个分布,从而允许任何处理器或GPU利用映射到任何物理存储器的虚拟地址来访问该存储器。
在一个实施例中,MMU 439A-439E中的一个或多个内的偏置/一致性管理电路494A-494E确保主机处理器(例如,405)与GPU 410-413的高速缓存之间的高速缓存一致性,并且实现指示其中应当存储某些类型的数据的物理存储器的偏置技术。虽然在图4F中示出了偏置/一致性管理电路494A-494E的多个实例,但可以在一个或多个主机处理器405的MMU内和/或在加速器集成电路436内实现偏置/一致性电路。
一个实施例允许将GPU附接的存储器420-423映射为系统存储器的部分,并使用共享虚拟存储器(SVM)技术进行访问,但不会遭受与全系统高速缓存一致性相关联的典型性能缺陷。GPU附接的存储器420-423被作为系统存储器来访问而没有繁重的高速缓存一致性开销的能力为GPU卸载提供有利的操作环境。该布置允许主机处理器405软件设置操作数并访问计算结果,而不具有传统I/O DMA数据拷贝的开销。此类传统拷贝涉及驱动器调用、中断和存储器映射的I/O(MMIO)访问,其相对于简单存储器访问来说都是低效的。同时,访问GPU附接的存储器420-423而没有高速缓存一致性开销的能力对于卸载计算的执行时间而言可能是关键的。例如,在具有大量流式写入存储器业务的情况下,高速缓存一致性开销可以显著减小由GPU 410-413看到的有效写入带宽。操作数设置的效率、结果访问的效率以及GPU计算的效率都在确定GPU卸载的效力中发挥作用。
在一个实现中,在GPU偏置与主机处理器偏置之间的选择由偏置跟踪器数据结构驱动。例如,可以使用偏置表,所述偏置表可以是每一GPU附接存储器页包括1或2位的页粒度结构(即,以存储器页的粒度来控制)。可以在一个或多个GPU附接存储器420-423的被偷存储器范围中实现偏置表,在GPU 410-413中具有或不具有偏置高速缓存(例如,以高速缓存频繁/最近使用的偏置表的条目)。替代地,可以在GPU内维持整个偏置表。
在一个实现中,在对GPU存储器的实际访问之前访问与对GPU附接存储器420-423的每次访问相关联的偏置表条目,从而引起以下操作。首先,将来自GPU 410-413的在GPU偏置中发现其页的本地请求直接转发到对应的GPU存储器420-423。(例如,通过如以上讨论的高速链路)将来自GPU的在主机偏置中发现其页的本地请求转发到处理器405。在一个实施例中,来自处理器405的在主机处理器偏置中发现所请求的页的请求完成像正常存储器读取那样的请求。替代地,可以将涉及GPU偏置页的请求转发给GPU 410-413。如果GPU当前未正在使用该页,则GPU然后可以将该页转换成主机处理器偏置。
可以通过基于软件的机制、基于硬件辅助的软件的机制,或者对于一组有限的情况基于纯硬件的机制,来改变页的偏置状态。
用于改变偏置状态的一个机制采用API调用(例如OpenCL),所述API调用继而调用GPU的设备驱动器,所述设备驱动器继而向GPU发送引导它改变偏置状态的消息(或将命令描述符入队),并且对于某些转换,在主机中执行高速缓存转储清除操作。高速缓存转储清除操作是从主机处理器405偏置到GPU偏置的转换所需的,但不是相反转换所需的。
在一个实施例中,通过暂时渲染主机处理器405不可高速缓存的GPU偏置页来维持高速缓存一致性。为了访问这些页,处理器405可以向GPU 410请求访问,所述GPU 410可能或可能不立即准予访问,这取决于实现。因此,为了减少处理器405与GPU 410之间的通信,有利的是确保GPU偏置页是GPU所需但不是主机处理器405所需的那些页,并且反之亦然。
图形处理流水线
图5示出了根据实施例的图形处理流水线500。在一个实施例中,图形处理器可以实现所示出的图形处理流水线500。图形处理器可以被包括在如本文中所描述的并行处理子系统(诸如图2的并行处理器200)内,其在一个实施例中是图1的(多个)并行处理器112的变体。各种并行处理系统可以经由如本文中所描述的并行处理单元(例如,图2的并行处理单元202)的一个或多个实例来实现图形处理流水线500。例如,着色器单元(例如,图3的图形多处理器234)可以配置成执行顶点处理单元504、曲面细分控制处理单元508、曲面细分评估处理单元512、几何处理单元516和片段/像素处理单元524中的一个或多个的功能。数据组装器502,图元组装器506、514、518,曲面细分单元510,光栅化器522和光栅操作单元526的功能还可以由处理集群(例如,图3的处理集群214)内的其他处理引擎和对应的分区单元(例如,图2的分区单元220A-220N)来执行。图形处理流水线500还可以使用用于一个或多个功能的专用处理单元来实现。在一个实施例中,图形处理流水线500的一个或多个部分可以由通用处理器(例如,CPU)内的并行处理逻辑来执行。在一个实施例中,图形处理流水线500的一个或多个部分可以经由存储器接口528访问芯片上存储器(例如,如图2中的并行处理器存储器222),所述存储器接口528可以是图2的存储器接口218的实例。
在一个实施例中,数据组装器502是收集表面和图元的顶点数据的处理单元。数据组装器502然后向顶点处理单元504输出包括顶点属性的顶点数据。顶点处理单元504是可编程执行单元,所述可编程执行单元执行顶点着色器程序,从而如由顶点着色器程序所指定那样对顶点数据进行光照(lighting)和变换。顶点处理单元504读取在高速缓存、本地或系统存储器中存储的供在处理顶点数据中使用的数据,并且可以被编程成将顶点数据从基于对象的坐标表示变换成世界空间坐标空间或归一化的设备坐标空间。
图元组装器506的第一实例从顶点处理单元504接收顶点属性。图元组装器506根据需要读取所存储的顶点属性并构造图形图元以用于由曲面细分控制处理单元508进行处理。图形图元包括如由各种图形处理应用编程接口(API)所支持的三角形、线段、点、补丁等。
曲面细分控制处理单元508将输入顶点视为针对几何补丁的控制点。所述控制点从来自补丁的输入表示(例如,补丁的基础)变换成适于在由曲面细分评估处理单元512进行的表面评估中使用的表示。曲面细分控制处理单元508还可以计算针对几何补丁的边缘的曲面细分因子。曲面细分因子适用于单个边缘,并量化与边缘相关联的依赖于视图的细节等级。曲面细分单元510配置成接收针对补丁的边缘的曲面细分因子并将补丁细分成诸如线、三角形或四边形图元之类的多个几何图元,所述多个几何图元被传输到曲面细分评估处理单元512。曲面细分评估处理单元512对细分的补丁的参数化坐标进行操作以生成与几何图元相关联的每个顶点的顶点属性和表面表示。
图元组装器514的第二实例从曲面细分评估处理单元512接收顶点属性,根据需要读取所存储的顶点属性,并构造图形图元以用于由几何处理单元516处理。几何处理单元516是可编程执行单元,所述可编程执行单元执行几何着色器程序以如由几何着色器程序所指定那样变换从图元组装器514所接收的图形图元。在一个实施例中,几何处理单元516被编程成将图形图元细分成一个或多个新的图形图元并且计算用于将新的图形图元光栅化的参数。
在一些实施例中,几何处理单元516可以在几何流中添加或删除元素。几何处理单元516向图元组装器518输出指定新的图形图元的参数和顶点。图元组装器518从几何处理单元516接收参数和顶点,并构建图形图元以由视口缩放、拣选(cull)和剪辑(clip)单元520进行处理。几何处理单元516读取并行处理器存储器或系统存储器中存储的数据以供在处理几何数据中使用。视口缩放、拣选和剪辑单元520执行剪辑、拣选和视口缩放,并向光栅化器522输出经处理的图形图元。
光栅化器522可以执行深度拣选和其他基于深度的优化。光栅化器522还对新图形图元执行扫描转换以生成片段并向片段/像素处理单元524输出那些片段和关联的覆盖数据。片段/像素处理单元524是配置成执行片段着色器程序或像素着色器程序的可编程执行单元。片段/像素处理单元524变换从光栅化器522所接收的片段或像素,如由片段或像素着色器程序所指定的那样。例如,片段/像素处理单元524可以被编程成执行包括但不限于纹理映射、着色、混合、纹理校正和透视校正的操作,以产生输出到光栅操作单元526的着色片段或像素。片段/像素处理单元524可以读取并行处理器存储器或系统存储器中存储的数据,以供在处理片段数据时使用。片段或像素着色器程序可以配置成根据针对处理单元所配置的采样速率以样本、像素、图块或其他粒度进行着色。
光栅操作单元526是处理单元,其执行包括但不限于模板印刷、z检验、混合等的光栅操作,并且将像素数据作为经处理的图形数据输出以存储在图形存储器(例如,如图2中的并行处理器存储器222,和/或如图1中的系统存储器104)中,以显示在一个或多个显示设备110上或者用于由一个或多个处理器102或(多个)并行处理器112中的一个进行进一步处理。在一些实施例中,光栅操作单元526配置成压缩写入到存储器的z或颜色数据,并解压缩从存储器读取的z或颜色数据。
机器学习概述
机器学习算法是可以基于一组数据来学习的算法。机器学习算法的实施例可以被设计成对数据集内的高级抽象进行建模。例如,图像识别算法可以用于确定给定的输入属于若干种类别中的哪一个;回归算法可以在给定输入的情况下输出数值;并且模式识别算法可以用于生成翻译文本或执行文本至语音和/或语音识别。
一个示范类型的机器学习算法是神经网络。存在许多类型的神经网络;一个简单类型的神经网络是前馈网络。可将前馈网络实现为非循环图,其中节点被布置在层中。通常,前馈网络拓扑包括输入层和输出层,所述输入层和输出层通过至少一个隐藏层而分离。隐藏层将由输入层接收到的输入变换成对在输出层中生成输出有用的表示。网络节点经由边而全连接至相邻层中的节点,但每个层内的节点之间不存在边。在前馈网络的输入层的节点处接收的数据经由激活函数被传播(即,“前馈”)至输出层的节点,所述激活函数基于系数(“权重”)来计算网络中的每个连续层的节点的状态,所述系数分别与连接所述层的边中的每个相关联。取决于由执行的算法所表示的特定模型,来自神经网络算法的输出可以采取各种形式。
在可以使用机器学习算法对特定问题进行建模之前,使用训练数据集来训练该算法。训练神经网络涉及选择网络拓扑、使用表示通过网络建模的问题的一组训练数据,以及调整权重直到网络模型针对训练数据集的所有实例表现具有最小误差。例如,在用于神经网络的监督学习训练过程期间,将由网络响应于输入表示训练数据集中的实例而产生的输出与该实例的“正确的”经标记的输出相比较,计算表示输出与经标记的输出之间的差异的误差信号,并且当将误差信号向后传播穿过网络的层时,调整与连接相关联的权重以使误差最小化。当根据训练数据集的实例所生成的每个输出的误差被最小化时,网络被认为是“经训练的”。
机器学习算法的准确度可能被用于训练该算法的数据集的质量显著影响。训练过程可以是计算密集的,并且在常规通用处理器上可能需要大量时间。因此,使用并行处理硬件来训练许多类型的机器学习算法。这对于优化神经网络的训练是特别有用的,因为在调整神经网络中的系数时执行的计算本身自然地有助于并行实现。具体地,许多机器学习算法和软件应用已被适配成在通用图形处理设备内使用并行处理硬件。
图6是机器学习软件栈600的广义图。机器学习应用602可以被配置成使用训练数据集来训练神经网络或被配置成使用经训练的深度神经网络来实现机器智能。机器学习应用602可以包括可以被用于在部署之前训练神经网络的专门软件和/或神经网络的训练和推断功能。机器学习应用602可以实现任何类型的机器智能,包括但不限于图像识别、映射和定位、自主导航、语音合成、医学成像或语言翻译。
可以经由机器学习块架604使能针对机器学习应用602的硬件加速。机器学习块架604可以提供机器学习图元库。机器学习图元是机器学习算法通常执行的基本操作。在没有机器学习块架604的情况下,将要求机器学习算法的开发者创建和优化与机器学习算法相关联的主要计算逻辑,然后在开发出新的并行处理器时重新优化该计算逻辑。相反,机器学习应用可以被配置成使用由机器学习块架604提供的图元来执行必要的计算。示范图元包括张量卷积、激活函数以及池化,它们是在训练卷积神经网络(CNN)时执行的计算操作。机器学习块架604还可以提供图元以实现由许多机器学习算法执行的基本线性代数子程序,诸如矩阵和向量操作。
机器学习块架604可以处理从机器学习应用602接收的输入数据,并生成至计算块架606的适当输入。计算块架606可以使提供给GPGPU驱动器608的基本指令抽象化,以使得机器学习块架604能够经由GPGPU硬件610来利用硬件加速而不要求机器学习块架604非常熟悉GPGPU硬件610的架构。另外,计算块架606可以使能跨多种类型和各代GPGPU硬件610的针对机器学习块架604的硬件加速。
GPGPU机器学习加速
图7图示了根据实施例的高度并行通用图形处理单元700。在一个实施例中,通用处理单元(GPGPU)700可以被配置成在处理与训练深度神经网络相关联的类型的计算工作负荷时特别高效。另外,GPGPU 700可以直接链接至GPGPU的其他实例以创建多GPU集群,以改进特别深的神经网络的训练速度。
GPGPU 700包括用于使能与主机处理器的连接的主机接口702。在一个实施例中,主机接口702是PCI Express接口。然而,主机接口还可以是供应方特定的通信接口或通信结构。GPGPU 700从主机处理器接收命令,并使用全局调度器704将与那些命令相关联的执行线程分发给一组计算集群706A-706H。计算集群706A-706H共享高速缓冲存储器708。高速缓冲存储器708可以充当计算集群706A-706H内的高速缓冲存储器中的高级高速缓存。
GPGPU 700包括存储器714A-714B,所述存储器714A-714B经由一组存储器控制器712A-712B与计算集群706A-H耦合。在各种实施例中,存储器714A-714B可以包括各种类型的存储器设备,包括动态随机存取存储器(DRAM)或图形随机存取存储器,诸如同步图形随机存取存储器(SGRAM)(包括图形双数据速率(GDDR)存储器)或3D堆叠式存储器(包括但不限于高带宽存储器(HBM))。
在一个实施例中,每个计算集群706A-706H包括一组图形多处理器,诸如图4A的图形多处理器400。计算集群的图形多处理器包括多个类型的整数和浮点逻辑单元,所述单元可以在一系列精度(包括适合于机器学习计算的精度)下执行计算操作。例如且在一个实施例中,计算集群706A-H中的每个中的浮点单元的至少一个子集可以被配置成执行16位或32位浮点操作,而浮点单元的不同子集可以被配置成执行64位浮点操作。
GPGPU 700的多个实例可以被配置成作为计算集群进行操作。由计算集群用于同步和数据交换的通信机制跨实施例变化。在一个实施例中,GPGPU 700的多个实例通过主机接口702来通信。在一个实施例中,GPGPU 700包括使GPGPU 700与GPU链路710耦合的I/O中枢709,所述GPU链路710使能至GPGPU的其他实例的直接连接。在一个实施例中,GPU链路710耦合至专用GPU至GPU桥,所述桥使能GPGPU 700的多个实例之间的通信和同步。在一个实施例中,GPU链路710与高速互连相耦合,以将数据传输至其他GPGPU或并行处理器和接收数据。在一个实施例中,GPGPU 700的多个实例位于单独的数据处理系统中并且经由网络设备进行通信,所述网络设备可经由主机接口702来访问。在一个实施例中,除主机接口702之外或作为对主机接口702的替代,GPU链路710可以被配置成使能至主机处理器的连接。
虽然GPGPU 700的所图示配置可以被配置成训练神经网络,但是一个实施例提供了GPGPU 700的替代配置,其可以被配置成用于部署在高性能或低功率推断平台内。在推断配置中,GPGPU 700包括相对于训练配置更少的计算集群706A-H。另外,与存储器714A-714B相关联的存储器技术可能在推断配置与训练配置之间不同。在一个实施例中,GPGPU 700的推断配置可以支持推断特定的指令。例如,推断配置可以提供对一个或多个8位整数点积指令的支持,所述指令通常用在针对已部署的神经网络的推断操作期间。
图8图示了根据实施例的多GPU计算系统800。多GPU计算系统800可以包括处理器802,所述处理器802经由主机接口开关804耦合至多个GPGPU 806A-806D。在一个实施例中,主机接口开关804是将处理器802耦合至PCI express总线的PCI express开关设备,处理器802可以通过所述PCI express总线与该组GPGPU 806A-D通信。所述多个GPGPU 806A-806D中的每个可以是图7的GPGPU 700的实例。GPGPU 806A-D可以经由一组高速点对点GPU至GPU链路816互连。高速GPU至GPU链路可以经由专用GPU链路(诸如如图7中的GPU链路710)连接至GPGPU 806A-806D中的每个。P2P GPU链路816使能GPGPU 806A-806D中的每个之间的直接通信,而不要求通过处理器802连接至的主机接口总线的通信。在GPU至GPU业务涉及P2PGPU链路的情况下,主机接口总线仍然可用于系统存储器访问或例如经由一个或多个网络设备与多GPU计算系统800的其他实例通信。虽然在所图示的实施例中GPGPU 806A-806D经由主机接口开关804连接至处理器802,但是在一个实施例中处理器802包括对P2P GPU链路816的直接支持并且可以直接连接至GPGPU 806A-806D。
机器学习神经网络实现
由本文中所描述的实施例提供的计算架构可以被配置成执行特别适合于训练和部署用于机器学习的神经网络的类型的并行处理。可以将神经网络概括为具有图表关系的功能的网络。如本领域中公知的,存在机器学习中所使用的多种类型的神经网络实现。一个示范类型的神经网络是如先前描述的前馈网络。
第二个示范类型的神经网络是卷积神经网络(CNN)。CNN是用于处理具有已知的网格状拓扑的数据(诸如图像数据)的专门前馈神经网络。因此,CNN通常用于计算视觉和图像识别应用,但它们也可用于其他类型的模式识别,诸如语音和语言处理。CNN输入层中的节点被组织成一组“滤波器”(由视网膜中发现的感受域激发的特征检测器),并且每一组滤波器的输出被传播至网络的连续层中的节点。用于CNN的计算包括将卷积数学操作应用于每个滤波器以产生该滤波器的输出。卷积是由两个函数执行以产生第三个函数的专门种类的数学操作,所述第三个函数是两个原始函数中的一个的修改版本。在卷积网络术语中,卷积的第一个函数可以被称为输入,而第二个函数可以被称为卷积核。输出可被称为特征映射。例如,至卷积层的输入可以是多维数据阵列,其定义输入图像的各种颜色分量。卷积核可以是多维参数阵列,其中通过针对神经网络的训练过程来适配所述参数。
递归神经网络(RNN)是一类包括层之间的反馈连接的前馈神经网络。RNN使能通过跨神经网络的不同部分共享参数数据对序列数据进行建模。RNN的架构包括循环。循环表示变量的目前值在未来的时间对它自己的值的影响,因为来自RNN的输出数据的至少一部分被用作用于处理序列中的后续输入的反馈。由于语言数据可以包括的可变本质,该特征使RNN对语言处理特别有用。
以下描述的图呈现了示范前馈、CNN和RNN网络,并且描述了用于分别训练和部署那些类型的网络中的每个的一般过程。将理解,这些描述就本文中所描述的任何特定实施例而论是示范且非限制性的,并且一般而言可以将所图示的概念一般地应用于深度神经网络和机器学习技术。
以上描述的示范神经网络可以用于执行深度学习。深度学习是使用深度神经网络进行的机器学习。与仅包括单个隐藏层的浅层神经网络相反,深度学习中使用的深度神经网络是由多个隐藏层组成的人工神经网络。训练更深的神经网络一般是更加计算密集的。然而,网络的附加隐藏层使能多步模式识别,所述多步模式识别相对于浅层机器学习技术导致减少的输出误差。
深度学习中使用的深度神经网络通常包括前端网络以执行耦合至表示数学模型的后端网络的特征识别,所述数学模型可以基于提供给该模型的特征表示来执行操作(例如,对象分类、语音识别等)。深度学习使得能够执行机器学习,而不要求针对模型执行手工制作的特征工程。相反,深度神经网络可以基于输入数据内的统计结构或相关性来学习特征。所学习的特征可以被提供给数学模型,所述数学模型可以将所检测的特征映射成输出。网络使用的数学模型一般专门用于要执行的特定任务,并且不同的模型将用于执行不同的任务。
一旦将神经网络结构化,就可以将学习模型应用于网络以将网络训练成执行特定任务。学习模型描述如何调整模型内的权重以减少网络的输出误差。误差的反向传播是一个用于训练神经网络的常用方法。向网络呈现输入向量以用于进行处理。使用损失函数将网络的输出与期望的输出相比较,并且针对输出层中的每个神经元计算误差值。然后,向后传播误差值,直到每个神经元具有粗略地表示其对原始输出的贡献的关联误差值。网络然后可以使用诸如诸如随机梯度下降算法之类的算法从那些误差中学习,以更新神经网络的权重。
图9A-9B图示了示范卷积神经网络。图9A图示CNN内的各种层。如图9A中所示,用于对图像处理进行建模的示范CNN可以接收输入902,所述输入902描述输入图像的红、绿和蓝(RGB)分量。输入902可以由多个卷积层(例如,卷积层904、卷积层906)处理。来自所述多个卷积层的输出可以可选地由一组全连接层908处理。全连接层中的神经元具有至前一层中的所有激活函数的全连接,如先前针对前馈网络所描述的。来自全连接层908的输出可以用于从网络中生成输出结果。可以使用矩阵乘法而非卷积来计算全连接层908内的激活。并非所有的CNN实现都使用全连接层908。例如,在一些实现中,卷积层906可以生成CNN的输出。
卷积层被稀疏地连接,这不同于全连接层908中发现的传统神经网络配置。传统神经网络层被全连接,使得每个输出单元与每个输入单元交互。然而,卷积层被稀疏地连接,因为域的卷积的输出(而非域中的每个节点的相应状态值)被输入至后续层的节点,如所图示的。与卷积层相关联的核执行卷积操作,所述卷积操作的输出被发送至下一层。在卷积层内执行的降维是使得CNN能够进行缩放以处理大图像的一个方面。
图9B图示在CNN的卷积层内的示范计算阶段。可以在卷积层914的三个阶段中处理至CNN的卷积层的输入912。这三个阶段可以包括卷积阶段916、检测器阶段918和池化阶段920。卷积层914然后可以将数据输出至连续的卷积层。网络的最后的卷积层可以生成输出特征映射数据或提供至全连接层的输入,例如以生成用于至CNN的输入的分类值。
在卷积阶段916中并行执行若干个卷积,以产生一组线性激活。卷积阶段916可以包括仿射变换,所述仿射变换是可以被指定为线性变换加平移的任何变换。仿射变换包括旋转、平移、缩放和这些变换的组合。卷积阶段计算连接至输入中的特定区域的函数(例如,神经元)的输出,所述特定区域可以被确定为与神经元相关联的局部区域。神经元计算神经元的权重与神经元连接至的局部输入中的区域之间的点积。来自卷积阶段916的输出定义由卷积层914的连续阶段处理的一组线性激活。
线性激活可以由检测器阶段918处理。在检测器阶段918中,每个线性激活由非线性激活函数处理。非线性激活函数增加整体网络的非线性性质,而不影响卷积层的感受域。可使用若干类型的非线性激活函数。一个特定类型是修正线性单元(ReLU),其使用被定义为f(x)=max(0,x)的激活函数,使得激活被在零处阈值化。
池化阶段920使用池化函数,所述池化函数用附近的输出的概括统计来代替卷积层906的输出。池化函数可以用于将平移不变性引入到神经网络中,使得对输入的小平移不改变池化输出。局部平移的不变性在其中输入数据中的特征的存在比该特征的精确位置更加重要的场景中可以是有用的。可以在池化阶段920期间使用各种类型的池化函数,包括最大池化、平均池化和l2-范数池化。另外,一些CNN实现不包括池化阶段。相反,此类实现代替并且附加的卷积阶段相对于先前的卷积阶段具有增加的步幅。
来自卷积层914的输出然后可以由下一层922处理。下一层922可以是附加的卷积层或是全连接层908中的一个。例如,图9A的第一卷积层904可以输出至第二卷积层906,而第二卷积层可以输出至全连接层908中的第一层。
图10图示了示范递归神经网络1000。在递归神经网络(RNN)中,网络的先前状态影响网络的当前状态的输出。可以使用多种函数以多种方式来建立RNN。RNN的使用一般围绕着使用数学模型以基于先前的输入序列来预测未来。例如,RNN可用于执行统计语言建模以在给定先前的字序列的情况下预测即将到来的字。可以将所图示的RNN 1000描述为具有接收输入向量的输入层1002、用于实现递归函数的隐藏层1004、用于使能先前状态的‘存储器’的反馈机制1005,以及用于输出结果的输出层1006。RNN 1000基于时间步长进行操作。经由反馈机制1005基于先前的时间步长来影响RNN在给定的时间步长处的状态。针对给定的时间步长,由先前状态和在当前时间步长处的输入来定义隐藏层1004的状态。在第一时间步长处的初始输入(x1)可以由隐藏层1004处理。第二输入(x2)可以由隐藏层1004使用在处理初始输入(x1)期间所确定的状态信息来处理。可以将给定的状态计算为s t = f (Ux t +Ws t-1 ),其中UW是参数矩阵。函数f一般为非线性,诸如双曲正切函数(Tanh)或修正函数f (x) = max(0,x)的变体。然而,隐藏层1004中使用的特定数学函数可以根据RNN 1000的特定实现细节而变化。
除所描述的基本CNN和RNN网络之外,还可使能那些网络的变化。一个示例RNN变体是长短期记忆(LSTM)RNN。LSTM RNN能够学习对于处理较长的语言序列来说可能必要的长期依赖。CNN的变体是卷积深度信念网络,其具有类似于CNN的结构并且以类似于深度信念网络的方式受训练。深度信念网络(DBN)是由随机(随机的)变量的多个层组成的生成式神经网络。可以使用贪婪的无监督学习来逐层训练DBN。DBN的学习到的权重然后可以用于通过为神经网络确定一组最佳初始权重来提供预训练神经网络。
图11图示了深度神经网络的训练和部署。一旦已针对任务将给定的网络结构化,就使用训练数据集1102来训练神经网络。已开发出各种训练块架1104以使能训练过程的硬件加速。例如,图6的机器学习块架604可被配置为训练块架604。训练块架604可以与未经训练的神经网络1106挂钩,并且使得能够使用本文中所描述的并行处理资源来训练未经训练的神经网以生成经训练的神经网1108。
为了开始训练过程,可随机地或通过使用深度信念网络进行预训练来选取初始权重。然后以监督或无监督的方式来执行训练循环。
监督学习是一种学习方法,其中诸如当训练数据集1102包括与输入的期望输出成对的该输入时,或者在训练数据集包括具有已知的输出的输入并且神经网络的输出被手动地分级的情况下,将训练作为调停操作来执行。网络处理输入并且将所产生的输出与一组预期或期望的输出相比较。然后通过系统来反向传播误差。训练块架1104可以进行调整,以调整控制未经训练的神经网络1106的权重。训练块架1104可以提供工具来监测未经训练的神经网络1106多么好地朝着适合于基于已知的输入数据生成正确的答案的模型收敛。当调整网络的权重以改善由神经网络生成的输出时,训练过程反复地发生。训练过程可以继续,直到神经网络达到与经训练的神经网1108相关联的统计上期望的准确度。然后可以部署经训练的神经网络1108以实现任何数量的机器学习操作。
无监督学习是一种学习方法,其中网络试图使用未标记的数据来训练其本身。因此,针对无监督学习,训练数据集1102将包括输入数据而不具有任何关联的输出数据。未经训练的神经网络1106可以学习未标记的输入内的分组,并且可以确定个体输入如何与整体数据集相关。无监督训练可以用于生成自组织映射,所述自组织映射是一个类型的经训练的神经网络1107,其能够执行在降低数据维度中有用的操作。无监督训练还可以用于执行异常检测,所述异常检测允许标识输入数据集中偏离正常数据模式的数据点。
还可采用监督和无监督训练的变化。半监督学习是其中训练数据集1102包括相同分布的经标记数据和未标记数据的混合的技术。增量学习是监督学习的变体,其中连续地使用输入数据以进一步训练模型。增量学习使得经训练的神经网络1108能够适应于新数据1112,而不忘记在初始训练期间灌输在网络内的知识。
不管是监督的还是无监督的,用于特别深的神经网络的训练过程对于单个计算节点而言可能是过于计算密集的。可以使用计算节点的分布式网络而非使用单个计算节点来加速训练过程。
图12是图示分布式学习的框图。分布式学习是使用多个分布式计算节点来执行神经网络的监督或无监督训练的训练模型。分布式计算节点可以每个包括一个或多个主机处理器以及通用处理节点中的一个或多个,诸如如图7中的高度并行的通用图形处理单元700。如所图示的,分布式学习可以执行模型并行性(parallelism)1202、数据并行性1204或模型和数据并行性1204的组合。
在模型并行性1202中,分布式系统中的不同计算节点可以针对单个网络的不同部分执行训练计算。例如,可以由分布式系统的不同处理节点来训练神经网络的每层。模型并行性的益处包括缩放到特别大的模型的能力。分裂与神经网络的不同层相关联的计算使得能够训练非常大的神经网络,其中所有层的权重将不被装配到单个计算节点的存储器中。在一些实例中,模型并行性在执行大型神经网络的无监督训练中可以是特别有用的。
在数据并行性1204中,分布式网络的不同节点具有模型的完整实例,并且每个节点接收数据的不同部分。然后组合来自不同节点的结果。虽然用于数据并行性的不同方法是有可能的,但是数据并行训练方法都要求组合结果并使模型参数在每个节点之间同步的技术。用于组合数据的示范方法包括参数求平均和基于更新的数据并行性。参数求平均关于训练数据的子集上来训练每个节点,并且将全局参数(例如,权重、偏置)设置成来自每个节点的参数的平均值。参数求平均使用维持参数数据的中心参数服务器。基于更新的数据并行性类似于参数求平均,除了传送对模型的更新而非将来自节点的参数传送到参数服务器。另外,可以以分散的方式执行基于更新的数据并行性,其中更新被压缩并且在节点之间传送。
例如,可以在其中每个计算节点包括多个GPU的分布式系统中实现经组合的模型和数据并行性1206。每个节点可以具有模型的完整实例,其中每个节点内的单独的GPU用于训练模型的不同部分。
分布式训练相对于单个机器上的训练具有增加的开销。然而,本文中所描述的并行处理器和GPGPU可以各自实现用于减少分布式训练的开销的各种技术,包括用于使能高带宽GPU至GPU数据传送和加速的远程数据同步的技术。
示范机器学习应用
可以应用机器学习来解决多种技术问题,包括但不限于计算机视觉、自主驾驶和导航、语音识别以及语言处理。计算机视觉传统上已是机器学习应用的最活跃研究领域中的一个。计算机视觉的应用范围为从重现人类视觉能力(诸如识别脸)到创建新类别的视觉能力。例如,计算机视觉应用可以被配置成从视频中可见的物体中感生的振动来识别声波。并行处理器加速的机器学习使得能够使用比先前可行的训练数据集显著更大的训练数据集来训练计算机视觉应用,并且使得能够使用低功率并行处理器来部署推断系统。
并行处理器加速的机器学习具有自主驾驶应用,包括车道和道路标志识别、障碍避开、导航和驾驶控制。加速的机器学习技术可以用于基于数据集来训练驱动模型,所述数据集定义对特定训练输入的适当响应。本文中所描述的并行处理器可以使得能够快速训练用于自主驾驶解决方案的日益复杂的神经网络,并且使得能够将低功率推断处理器部署在适合于集成到自主运载工具中的移动平台中。
并行处理器加速的深度神经网络已使能用于自动语音识别(ASR)的机器学习方法。ASR包括创建在给定输入声序列的情况下计算最可能的语言序列的函数。使用深度神经网络的加速的机器学习已使得能够代替先前用于ASR的隐马尔可夫模型(HMM)和高斯混合模型(GMM)。
并行处理器加速的机器学习还可以用于加速自然语言处理。自动学习程序可以使用统计推断算法以产生对于错误的或不熟悉的输入而言鲁棒的模型。示范自然语言处理器应用包括人类语言之间的自动机器翻译。
可以将用于机器学习的并行处理平台分成训练平台和部署平台。训练平台一般是高度并行的,并且包括优化以加速多GPU单节点训练和多节点多GPU训练。适合于训练的示范并行处理器包括图7的高度并行的通用图形处理单元700和图8的多GPU计算系统800。相反,部署的机器学习平台一般包括适合于在诸如相机、自主机器人和自主运载工具之类的产品中使用的低功率并行处理器。
图13图示了适合于使用经训练的模型来执行推断的示范推断片上系统(SOC)1300。SOC 1300可以对处理部件进行集成,所述处理部件包括媒体处理器1302、视觉处理器1304、GPGPU 1306和多核处理器1308。SOC 1300可以附加地包括片上存储器1305,所述片上存储器1305可以使能可由处理部件中的每个访问的共享片上数据池。可以针对低功率操作来优化处理部件,以使得能够部署至多种机器学习平台,包括自主运载工具和自主机器人。例如,可以将SOC 1300的一个实现用作用于自主运载工具的主控制系统的一部分。在SOC1300被配置成供自主运载工具中使用的情况下,SOC被设计和配置成用于符合部署管辖权的相关功能安全标准。
在操作期间,媒体处理器1302和视觉处理器1304可以一致地工作以加速计算机视觉操作。媒体处理器1302可以使能对多个高分辨率(例如,4K、8K)视频流的低等待时间解码。可以将已解码的视频流写入到片上存储器1305中的缓冲器。视觉处理器1304然后可以在使用经训练的图像识别模型来处理已解码的视频的帧的准备中解析已解码的视频并且对已解码的视频的帧执行初步处理操作。例如,视觉处理器1304可以加速用来对高分辨率视频数据执行图像识别的用于CNN的卷积操作,而后端模型计算由GPGPU 1306执行。
多核处理器1308可以包括控制逻辑,以帮助由媒体处理器1302和视觉处理器1304执行的共享存储器操作以及数据传送的定序和同步。多核处理器1308还可以充当应用处理器,以执行可以使用GPGPU 1306的推断计算能力的软件应用。例如,可以以在多核处理器1308上执行的软件中实现导航和驾驶逻辑的至少一部分。此类软件可以直接向GPGPU 1306发布计算工作负荷,或可以将计算工作负荷发布给多核处理器1308,所述多核处理器1308可以将那些操作的至少一部分卸载到GPGPU 1306。
GPGPU 1306可以包括计算集群,诸如高度并行的通用图形处理单元700内的计算集群706A-706H的低功率配置。GPGPU 1306内的计算集群可以支持被特别优化以对经训练的神经网络执行推断计算的指令。例如,GPGPU 1306可以支持用于执行低精度计算(诸如8位和4位整数向量操作)的指令。
用于机器学习操作的动态浮点单元准确度减少
IEEE 754单精度二进制浮点格式指定具有1位符号、8位指数和24位有效位数(significand)的32位二进制表示,其中23位被显式存储。IEEE 754半精度二进制浮点格式指定具有1位符号、5位指数和11位有效位数的16位二进制表示,其中10位被显式存储。对于非零指数值隐式有效位数位定义成1,并且当所有指数位为零时定义为0。能够以单精度和半精度执行算术操作的浮点单元是本领域已知的。例如,现有浮点单元可以执行32位单精度浮点操作(FP32)或双16位半精度浮点操作(FP16)。
本文描述的实施例通过提供对指令和关联逻辑的支持来扩展此能力以使能可变精度操作。允许可变精度操作的浮点指令可以通过在可能时以较低精度执行操作来动态增加吞吐量。在一个实施例中,提供了关联逻辑和指令集合,其中吞吐量通过以尽可能低的精度执行浮点操作而没有显著的数据损失来增加。在一个实施例中,提供了关联逻辑和指令集合,其中浮点逻辑将针对以较高精度执行的结果来验证较低精度结果,以确定是否已发生了任何显著的数据损失。
图14示出了根据实施例的动态精度浮点单元1400的部件。动态精度浮点单元1400在一个实施例中,动态精度浮点单元1400包括控制单元1402、内部寄存器1404集合、指数块1406和有效位数块1408。除了本领域已知的浮点控制逻辑,在一个实施例中,控制单元1402附加地包括精度跟踪逻辑1412和数值变换单元1422。
在一个实施例中,精度跟踪逻辑1412是硬件逻辑,其被配置跟踪与目标精度相关的计算数据的精度位的可用数量。精度跟踪逻辑1412可以跟踪指数块1406和有效位数块1408内的精度寄存器以跟踪精度度量,诸如存储由指数块1406和有效位数块1408生成的计算值所要求的精度位的最小数量。在一个实施例中,精度度量包括表示在计算集合上的数据所要求的数值精度的运行平均。在一个实施例中,精度度量包括给定数据集合内的最大所要求精度。在一个实施例中,动态精度浮点单元1400支持用来读取或重置由精度跟踪逻辑1412所使用的寄存器数据的指令,以生成本文所描述的精度度量。在一个实施例中,容纳动态精度浮点单元的计算单元支持用来设置或重置由精度跟踪逻辑1412使用的寄存器数据的指令。在一个实施例中,精度跟踪逻辑1412监测内部寄存器1404集合中的误差累加器1434。误差累加器可以用于跟踪浮点操作集合上的累加误差(例如舍入误差)。在一个实施例中,动态精度浮点单元1400支持包括用来重置误差累加器1434的指令和用来读取误差累加器1434的指令的指令集合。在一个实施例中,误差累加器可以响应于作为操作数供应给指令的位或标志而重置。
在一个实施例中,数值变换单元1422可以用于在执行较低精度操作时对数据执行中间数值变换以防止或减轻执行操作时的溢出或下溢的可能性。例如,当接近给定数据类型的精度限制时,数值变换单元1422可以使用对数执行乘法或除法操作并且经由求幂来变换所得到的值。相对于精度跟踪逻辑1412和数值变换单元1422的进一步细节在图22中提供。
内部寄存器1404包括操作数寄存器1414集合,其存储用于动态精度浮点单元1400的输入值。在一个实施例中,操作数寄存器1414包括两个操作数(A、B)。对于浮点输入数据,输入数据值可以分为指数部分(EXA、EXB)和有效位数部分(SIGA、SIGB)。在各种实施例中,操作数寄存器1414不限于支持两个浮点输入。在一个实施例中,操作数寄存器1414包括三个输入操作数,例如以支持融合的乘-加、乘-减、乘-累加或相关操作。在一个实施例中,操作数寄存器1414也可以存储整数值,如在一个实施例中动态精度浮点单元支持32位、16位和8位整数操作。在一个实施例中,特定数据类型和基线精度经由到控制单元1402的输入可配置。
在一个实施例中,使用指数块1406和有效位数块1408以动态精度执行浮点操作。在一个实施例中,可以经由有效位数块1408执行整数操作。在一个实施例中,双8位整数操作可以使用指数块1406和有效位数块1408来执行。
在一个实施例中,指数块1406包括比较器1416和动态精度指数加法器1426。比较器确定指数之间的差并确定两个指数中较小者。在浮点加法期间,调整较小数的指数以匹配较大数的指数。动态精度指数加法器1426可以用于加上对于FP16或FP32值的指数值。有效位数块1408包括动态精度乘法器1418、移位单元1428、动态精度有效位数加法器1438和累加器寄存器1448。
在一个实施例中,可以对于操作指定FP16或FP32数据类型。在指定FP16的情况下,动态精度浮点单元1400可以为对于执行FP32操作不必要的门元件提供功率,同时保持逻辑以跟踪精度损失或误差(例如,经由误差累加器1434)。例如并且在一个实施例中,误差累加器1434可以用于跟踪指令周期内的多个舍入操作。在一个实施例中,误差累加器在指令集合上保持总累加舍入误差的值。动态精度浮点单元1400可以使能对从软件清除或读取误差累加器1434的指令的支持。在指定FP32的情况下,动态精度浮点单元1400可以尝试以FP16精度执行FP32操作,同时为超过以FP16精度执行操作要求的那些门控元件和部件的门控元件和部件提供功率。基于输入或中间值,在动态精度浮点单元1400被请求以FP32执行操作的情况下,动态精度浮点单元1400可以初始尝试以FP16执行操作并且根据需要扩展精度直到FP32。在可以以FP16精度执行FP32操作的情况下,每操作的功耗需求减少,允许同时使能更大数量的计算元件。例如,对于给定配置(诸如电池供电配置或仅被动式冷却配置)的动态电容和/或功率预算限制可能不允许同时使能GPGPU内的所有浮点单元或其他计算元件。通过使能动态较低精度计算来减少浮点单元集合的动态功率,可以增加给定功率包络内GPGPU的计算单元的整体吞吐量,因为可以以每周期为基础处理更大数量的线程而不超过动态功率限制。
图15提供了根据实施例的相对于图14的动态精度浮点单元1400的附加细节。在一个实施例中,动态精度乘法器1418包括输入缓冲器1302集合以存储有效位数数据。在一个实施例中,输入缓冲器集合包括两个缓冲器以存储用于乘法或除法操作的两个输入值。对于融合操作(例如,乘-加、乘-减),操作的乘积可以经由加法器加到第三输入和/或存储在累加器寄存器中。
在一个实施例中,动态精度乘法器1418的一些配置包括输入缓冲器,其是24位输入(其可以显式地存储用于单精度浮点输入的24位有效位数数据或用于半精度浮点值的11位有效位数数据)。在一些配置中,输入缓冲器1302也可以是32位缓冲器以使能32位整数值的乘法。在一个实施例中,存在在32位和24位之间可选择或可配置的输入缓冲器1302的单个配置。在一个实施例中,输出缓冲器1310类似地在24位和32位之间可配置或可选择以选择性地使能32位或16位浮点数的24位和/或11位有效位数值或者全精度32位整数的存储。
在一个实施例中,动态精度乘法器1418包括乘法器1306和溢出乘法器1304。乘法器1306可配置成对于数据类型以半精度执行乘法或除法操作。例如,乘法器1306可以对于FP16浮点值的有效位数执行11位乘法操作和/或对于16位整数操作执行16位乘法操作。乘法器1306也可以对INT8整数值执行8位乘法操作。对于32位浮点值或32位整数值,乘法器1306可以以11位(例如,FP16精度)对24位有效位数执行乘法操作。如果需要,乘法器1306可以对24位FP16有效位数执行乘法值16位有效位数精度。在一个实施例中,可以经由精度寄存器1308跟踪给定输入集合上的操作所要求的和所得到的精度。在一个实施例中,可以经由将引起的精度的损失来在精度寄存器1308内表示所要求和所得到的精度,如果乘法器1306的输出经由输出缓冲器1310输出的话。在此类实施例中,精度寄存器1308可以跟踪与较低精度数据类型的使用关联的精度损失以及与以低于请求的精度的精度执行操作关联的精度损失。
在一个实施例中,与动态精度乘法器1418关联的控制逻辑(例如,在图14的控制单元1402内)可以监测与以较低精度(例如,FP16、INT16、INT8)执行较高精度(例如,FP32、INT32)操作的操作关联的精度损失。如果精度损失将是显著的,则控制逻辑可以使溢出乘法器1304对于附加精度位执行操作。此外,如果控制逻辑确定基于当前输入将发生溢出或下溢,则使能溢出乘法器1304并且使用溢出乘法器1304和乘法器1306执行乘法操作。
对动态精度指数加法器1426和动态精度有效位数加法器1438执行类似的控制操作。动态精度指数加法器1426包括8位输入缓冲器集合,其可以存储用于FP32(8位)和FP16(5位)的指数数据。8位输入缓冲器1312也可以存储INT-8输入集合。用于动态精度指数加法器1426的输出缓冲器1320可以类似地配置。动态精度有效位数加法器1438包括输入缓冲器1322集合,其可以从24位和32位缓冲器集合中的一个选择,或者可以动态可配置以存储24位或32位的输入数据。在一个实施例中,输入缓冲器1322只是32位缓冲器,其也可以存储24位输入数据。用于动态精度有效位数加法器1438的输出缓冲器1330可以类似地配置。动态精度指数加法器1426内的精度寄存器1318和动态精度有效位数加法器1438内的精度寄存器1328可以配置成跟踪执行的操作的精度损失。控制逻辑可以根据需要使能溢出加法器1314和/或溢出加法器1324以防止溢出或下溢条件或防止精度损失超过阈值。
返回图14,在一个实施例中,可以由动态精度浮点单元1400使用动态精度指数加法器1426和动态精度有效位数加法器1438来执行双INT8操作。例如,代替在整数操作期间禁用指数块1406,指数块1406可以配置成对8位整数操作数的第一集合执行操作,而有效位数块1408可以配置成对8位操作数的第二集合执行操作。为了使能支持双8位乘法,双融合乘-加、双融合乘-减和/或其他基于乘法的操作,在一个实施例中,指数块1406可以包括附加乘法器1436。乘法器可以是固定的8位乘法器以使用指数块1406和有效位数块1408来使能同时双8位乘法操作。
图16示出根据实施例的动态精度处理系统1600的线程指派。在一个实施例中,动态精度处理系统1600包括动态浮点单元1608A-1608D集合。动态浮点单元1608A-1608D可以执行操作线程1606A-1606D集合,其可以执行混合的精度操作并以可变的精度生成输出数据。在一个实施例中,可以由第一操作线程1606A在第一动态浮点单元1608A上执行第一操作(例如,加、减、乘、除等),其中第一操作线程1606A接受两个16位浮点值1602A-1602B作为输入并输出16位浮点值FP16。第一操作可以作为双操作执行,其中由GPGPU执行的单个指令允许混合精度FP16/FP32双操作。双操作的第二操作可以由第二操作线程1606B执行,所述第二操作线程1606B由第二动态浮点单元1608B执行,所述第二动态浮点单元1608B可以生成作为32位浮点输出的第二输出1612。第二操作线程1606B配置第二动态浮点单元1608B以接收两个32位浮点输入值1603A-1603B。在一个实施例中,如果可以在没有通过以较低精度执行操作将不会发生过度的精度损失、下溢或溢出的情况下执行操作,则可以以16位精度执行对两个32位浮点操作的操作。
在一个实施例中,动态精度处理系统1600可以执行具有16位操作数1604A和32位操作数1604B的单个指令。操作线程1606C可以在动态浮点单元1608C上执行。动态浮点单元1608C将尝试以16位精度执行混合精度16位/32位操作,除非将发生显著的精度损失或误差。在一个实施例中,动态精度处理系统1600也可以配置成执行整数操作。例如,可以借助于动态浮点单元1608D经由操作线程1606D来执行对一对8位整数输入1605A-1605B的操作以生成8位整数输出1616。在一个实施例中,动态浮点单元1608D可配置成执行双8位整数操作,其中可以在单个周期中执行两个8位整数操作。
图17示出了根据实施例的以小于所请求的精度执行数值操作的逻辑1700。在一个实施例中,逻辑1700经由集成在图14的动态精度浮点单元1400内的硬件来实现。在一个实施例中,逻辑1700部分地经由图14的动态精度浮点单元1400内的控制单元1402执行。
在一个实施例中,逻辑1700可以接收以第一精度执行数值操作的请求,如在块1702所示的。数值操作可以是浮点操作或整数操作。第一个精度可以是,例如,32位精度。在一个实施例中,数值操作可以是以第一精度的操作,其在具有混合精度的操作上被执行。逻辑1700然后可以使用与比第一精度低的第二精度关联的多个位来执行数值操作,如在块1704所示的。例如并且在一个实施例中,用于执行操作的位的数量可以是与16位操作关联的多个位,而第一精度是32位精度。在块1706,逻辑1700可以以第二精度生成中间结果。逻辑1700然后可以确定与第一精度相关的中间结果的精度损失。可以从寄存器中读取精度损失,该寄存器存储在操作期间存储的精度损失指示符。
在块1709,逻辑1700可以确定精度损失是否小于阈值。在一个实施例中,与精度损失关联的阈值可以是软件可配置的,尽管在一些实施例中使用硬件默认阈值。在一个实施例中,还可以经由在未使用的计算单元上并行执行全精度操作来确定精度损失的程度。然后可以将减少的精度结果与全精度结果进行比较。如果精度损失小于阈值,则逻辑1700可以以第二精度输出结果,如在块1712所示的。如果在块1709精度损失不小于阈值,则在块1710逻辑1700可以计算结果的剩余位并且以第一精度输出结果,如在块1714所示的。在一个实施例中,可以经由溢出逻辑单元(诸如,如在图15中的溢出乘法器1304、溢出加法器1314和/或溢出加法器1324)来在块1710执行计算结果的剩余位。
16位浮点操作的垂直堆叠操作
当以较低精度执行单指令多线程(SIMT)操作时,在一些情况下,由于填充所有SIMD通道要求较大数量的元素,因此保持底层单指令多数据(SIMD)逻辑的完全利用可能是困难的。例如,配置用于在128位输入寄存器上的FP32操作的SIMD逻辑单元可以对输入数据的四个集合执行单个操作。如果将该逻辑单元配置成对输入数据的相同的四个集合执行FP16操作,则由于较低的操作精度,操作的底层吞吐量可能会增加,但SIMD利用减半。SIMD未充分利用的一个解决方案是对输入数据的八个集合执行操作。然而,在逻辑单元上执行的软件可不要求与底层硬件可以提供的一样多的并行性。
例如,对输入阵列执行迭代操作的循环可以向量化,使得阵列的每个迭代作为单独的SIMT线程并行执行。单独的SIMT线程可以在单个操作中在计算单元内的底层SIMD/向量逻辑上执行。当执行经由编译器循环向量化逻辑导出的并行指令时,短于8次迭代的循环将不会填充可用于执行对于那些操作产生的线程的全部八个SIMD通道,减少了计算单元的整体利用。此外,在底层硬件有N个SIMD通道的情况下,任何数量的非N倍数的向量化迭代将要求在少于全SIMD单元上执行余项迭代。此外,向量化可要求在执行向量化操作的主体之前单独执行剥离(peel)循环。
本文描述的一些实施例可以通过将多个不相关的FP16操作堆叠到单个SIMD单元中用于执行来增加SIMD利用。在SIMD单元具有可用于执行的8个通道的情况下,线程调度逻辑可以以N/2或N/4为单位分派线程,并允许要执行相同或兼容操作的不相关集合线程来共享单个SIMD单元。此外,一个实施例使能SIMD通道调度,其允许动态组装的SIMT线程组与向量SIMD线程的混合。
图18示出了根据实施例的用于SIMD单元的循环向量化。在一个实施例中,软件逻辑可以包括通过在数据处理系统上执行的编译器软件的自动向量化的循环。循环可以包括剥离循环1802、向量化主循环1804和余项循环1806。在一些配置中,当对访问对齐的存储器的数据执行时,循环向量化是最有效的。例如,可以配置GPGPU,使得可以在64字节组块1801A-1801F中最有效地执行向量存储器访问。在此类配置中,剥离循环1802包括从主循环剥离的循环迭代的子集,以使未对齐的存储器访问能够从主循环中隔开。向量化的主循环1804包括循环的大部分迭代。向量化主循环的每个迭代可以并行执行,并且对每个元素的存储器访问在特定的存储器边界上对齐。余项循环1806包括在向量化主循环1804之后的迭代的集合。余项循环1806中的迭代一般可以不像主循环一样有效地并行执行。
在一个实施例中,剥离循环1802和余项循环1806也可以向量化。在一个实施例中,剥离循环1802、主循环1804和余项循环1806中的每个可以在FP16 SIMD8单元上执行,其中可以并行执行相同操作的八个实例。循环迭代可以使用执行掩码1812、执行掩码1814和执行掩码1816(各自对于操作周期启用和禁用SIMD通道)在SIMD硬件(例如,FP16 SIMD8单元1801A-1808C)上并行执行。对于所示的剥离循环1802和余项循环1806,在执行掩码1812和执行掩码1816中选择元素的子集。在向量化主循环1804的执行掩码1814中选择所有通道。
在一个实施例中,对于具有不活动通道的SIMD单元可以配置成对那些不活动通道执行其他操作。对于给定的周期,其中调度逻辑为SIMD单元(例如,FP16 SIMD8 1808A、FP16SIMD8 108C)配置不活动通道集合,而不是在周期期间空闲那些通道,调度器可以堆叠其他多元素SIMD线程或将SIMT线程指派给以其他方式空闲的SIMD通道。
图19示出了根据实施例的线程处理系统1900。在一个实施例中,线程处理系统1900包括SIMD计算单元,诸如包括多个动态浮点单元1922A-1922H的SIMD8浮点单元1920。取决于操作,SIMD8浮点单元1920可以在单个周期中执行八个或更多个相同或类似的操作。例如并且在一个实施例中,八个动态浮点单元1922A-1922H中的每个可以以FP16精度执行单个操作。在一个实施例中,八个动态浮点单元1922A-1922H中的每个可以在单个周期中执行两个配对的INT8操作。
在一些情况下,诸如具有如图18中所示的剥离或余项循环,不是SIMD浮点单元的所有通道将在周期期间活动。为了增加利用,可以以较小的粒度指派SIMD槽(slot),以使能要利用的另外未使用的SIMD通道。例如,SIMD8浮点单元1920一般将会以八个操作粒度被指派线程或操作,其中少于八个操作呈现潜在的计算效率损失。在一个实施例中,SIMD通道可以被占用单个向量SIMD线程,其包括选择至少八个元素或具有至少八个元素的SIMT线程组的执行掩码。
为了增加SIMD利用,一个实施例将八个SIMD通道分成两个SIMD4槽(例如,SIMD4槽1910、SIMD4槽1912)。SIMD4槽可以以各种各样方式填充。在一个实施例中,组合成覆盖总共四个SIMD通道的两个单独的SIMD线程(SIMD线程1902、SIMD线程1904)指派给SIMD4槽(例如,SIMD4槽1910)。在一个实施例中,SIMT线程组1906可以被指派给SIMD4槽1912。SIMT线程组1906可以包括作为四个线程的倍数(例如,4、8、12、16等)的任何数量的线程。可以以一次四个线程地处理SIMT线程组1906内的线程,其中处理SIMT线程组1906内的所有线程所要求的周期的数量取决于组中线程的数量。
图20示出了根据实施例的指派用于计算的线程的逻辑2000。在一个实施例中,逻辑2000经由如图19中的线程处理系统1900来执行。在一个实施例中,逻辑2000可以在具有第一数量的通道的SIMD单元处接收线程的第一集合,如在块2002所示的。逻辑2000然后可以确定线程的第一集合是否填充SIMD单元的所有SIMD通道,如在块2003所示的。如果线程的第一集合包括足够的SIMT线程或者线程的第一集合的线程包括足够的SIMD向量元素以填充所有SIMD通道,则逻辑2000可以将线程的第一集合指派给SIMD单元,如在块2004所示的。
如在块2003确定的,如果线程的第一集合不填充所有SIMD通道,则在块2006逻辑2000可以将线程的第一集合指派给第二数量的通道,该第二数量的通道小于第一数量的通道。可以通过将SIMD线程指派给SIMD单元并掩蔽出不活动通道来执行指派。指派也可以通过将SIMT线程集合指派给SIMD单元来执行。如在块2008所示的,逻辑可以然后堆叠一个或多个附加线程集合以填充所有SIMD通道。附加线程集合可以指定占用未被初始线程占用的通道的活动SIMD通道。
使能低精度数据的归一化和变换的系统
当通过低精度数据类型执行操作时,必须小心以避免在数值操作期间数据的溢出或下溢。这种责任通常落在开发低精度算法的数据科学家身上。由于低精度算术的限制性,许多神经网络已经适应于使用二进制和/或三进制值(每元素只占用一个或两个位)。然而,存在对整数和浮点算术逻辑单元的需要,其可以使具有保护逻辑的N位低精度算术能够警告或试图防止算术操作期间的显著精度损失。在一个实施例中,本文描述的动态精度浮点单元包括当数值计算接近低精度计算极限时警告的逻辑。
如图14中所示的,动态精度浮点单元1400可以包括精度跟踪逻辑1412和数值变换单元1422。在一个实施例中,精度跟踪逻辑1412跟踪对与目标精度相关的所计算数据保留的精度的可用位。可以对于中间数据跟踪精度的可用位以确定中间值(其在一个实施例中以与输入数据或输出数据相关的较高精度计算)是否可以以输出精度存储,而没有显著的精度损失或者舍入误差。例如并且在一个实施例中,可以以较高的精度有效地执行特定的低精度操作,并且精度跟踪逻辑1412可以确定计算的结果是否将会溢出给定的输出精度。在一个实施例中,本文描述的逻辑单元可以输出指示由于舍入误差的损失精度的程度的状态信息。在一个实施例中,逻辑单元可以对数据执行中间数值变换以防止显著的数据损失。逻辑单元然后可以输出变换的值。在一个实施例中,可以基于随输出提供的输出和状态信息编程地导出全精度或接近全精度输出值。
图21示出了可以使用由本文描述的实施例提供的计算逻辑来处理的深度神经网络2100。深度神经网络(DNN)是包括多个神经网络层2102A-2102N的人工神经网络。每个层表示非线性计算操作集合,来以与本文描述的机器学习神经网络一致的方式执行特征提取和变换。每个连续的层使用来自之前层的输出作为输入。在卷积神经网络的情况下,可以使用融合乘加逻辑(例如,FMA逻辑2104A、2104B)来计算特征映射和滤波器数据之间的点积,以生成作为对连续的层的输入而提供的激活映射数据。
低精度神经网络可以使用与二进制、三进制或N位特征映射组合的二进制或三进制权重来实现。一些神经网络仍然可以从使用N位特征映射和N位滤波器的添加的计算精度而受益。在一些实现中,神经网络的N位特征和权重可以以低精度处理,而不会显著减少输出误差。然而,实现低精度N位神经网络(例如,FP16、INT8)的数据科学家一般应该知道可能由于以低精度的连续计算而出现的舍入误差或边界外数据。如果FMA逻辑2104A-2106B中的精度跟踪逻辑(例如,图14的精度跟踪逻辑1412)确定权重或特征映射数据正接近数据类型的可用精度的极限,则状态位可以由FMA逻辑2104A-2015B设置。状态位可以充当给正在开发存在于神经网络层2102A-2012N内的神经网络模型的数据科学家的指示符,模型可要求优化或更高的数值精度可被告警。
在一个实施例中,在将特征映射数据提供给下一个神经网络层以用于输入之前,可以使归一化和变换逻辑2106A-2106B能够对特征映射数据执行权重归一化或数值变换。归一化和变换逻辑2106A-2106B的应用在每个阶段是可选的,并且可以只有当显著的精度损失、溢出或下溢条件在即将到来的层的处理期间是很可能的时才执行。在一个实施例中,从神经网络的层输出的权重或特征映射可以经由归一化和变换逻辑2106A-2106B的实例自动归一化。
在一个实施例中,归一化和变换逻辑2106A-2016B可以使用图14的数值变换单元1422来变换特征映射数据或权重数据。从神经层输出的特征映射数据可以基于从功能集合输出的数据集合。在此类实施例中,提供了低精度指令的特定集合,其使能自动调整N位神经网络数据以防止灾难性精度损失。可由归一化和变换逻辑2106A-2016B执行的示范变换或归一化包括对持续和可逆特征数据变换集合或值范围的权重归一化。在一个实施例中,可以执行权重归一化以将滤波器权重集合的动态范围压缩到预定范围内。权重数据可以归一化,例如,在[-1,1]的范围内,这可以保留权重值之间的相对差异,同时减少权重值的整体量值。在一个实施例中,可以借助于数据集的平均值对神经网络权重或特征映射数据进行归一化。
在一个实施例中,使用接近数据类型的范围限制的数据的神经网络计算可以在数据用于计算之前被变换。例如,使用可能引起溢出的大值的乘法操作可以作为对数的加法而不是乘法操作来执行。尽管此类变换可引起某一程度的精度损失,但计算将能够在不会溢出分配用于执行操作的位的数量的情况下执行。例如,可以如等式(1)中呈现一系列操作。
Figure 410504DEST_PATH_IMAGE001
如果计算单元内的精度跟踪逻辑确定此类操作可能溢出或下溢,则该操作可以变换成等式(2)。
Figure 16060DEST_PATH_IMAGE002
等式(2)可被执行以产生结果而不触发数据类型的溢出。在一个实施例中,在使用本文描述的用于机器学习计算的值之前,归一化和变换逻辑2106A-2016B可以将输出值变换成对数值以便存储并且借助于求幂来变换值。
图22是根据实施例的在执行用于机器学习的低精度操作时防止误差或显著精度损失的逻辑2200的流程图。在一个实施例中,逻辑2200可以经由如图14中的动态精度浮点单元1400内的数值变换单元1422和精度跟踪逻辑1412来实现。
在一个实施例中,逻辑2200可以基于与神经网络的层关联的滤波器和特征映射数据来计算激活映射,如在块2202所示的。逻辑2200然后可以跟踪在计算神经网络层的激活映射期间发生的精度损失。逻辑2200然后可以在块2205确定精度损失是否接近阈值。如果在块2205精度损失没有接近默认或配置的阈值,则逻辑2200可以继续计算对于连续的层的激活映射(并且应用激活函数),直到并且除非在块2205发生精度损失接近阈值。当精度损失接近阈值时,逻辑2200可以确定在块2207是否使能自动数值变换。如果在块2207使能自动变换,例如经由用于执行数值操作集合的指令,在块2208逻辑2200可以变换神经网络数据以减少由于精度损失的误差。逻辑2200可以执行本文描述的任何数值变换,包括借助于平均值或范围内的数据归一化。不管在块2207是否使能自动变换,在块2210逻辑2200可以输出指示精度损失正接近阈值的状态。作为执行的操作的结果,状态可以作为从计算单元输出的状态标志输出。程序员可以通过对执行程序执行算法调整或调整用于执行机器学习的神经网络模型来配置软件逻辑以响应于此类状态。
附加的示范图形处理系统
以上描述的实施例的细节可以被结合在以下描述的图形处理系统和设备内。图23至图36的图形处理系统和设备示出了可以实现以上描述的技术中的任何和全部技术的替代系统和图形处理硬件。
附加的示范图形处理系统概述
图23是根据实施例的处理系统2300的框图。在各种实施例中,系统2300包括一个或多个处理器2302以及一个或多个图形处理器2308,并且可以是单处理器台式系统、多处理器工作站系统或具有大量处理器2302或处理器核2307的服务器系统。在一个实施例中,系统2300是被结合于片上系统(SoC)集成电路内的供在移动设备、手持式设备或嵌入式设备中使用的处理平台。
系统2300的实施例可以包括基于服务器的游戏平台、游戏控制台,其包括游戏与媒体控制台、移动游戏控制台、手持式游戏控制台或在线游戏控制台,或被结合于它们内。在一些实施例中,系统2300是移动电话、智能电话、平板计算设备或移动互联网设备。数据处理系统2300还可以包括可穿戴设备(诸如智能手表可穿戴设备、智能眼镜设备、增强现实设备或虚拟现实设备)、与所述可穿戴设备耦合、或者集成在所述可穿戴设备内。在一些实施例中,数据处理系统2300是电视或机顶盒设备,所述电视或机顶盒设备具有一个或多个处理器2302以及由一个或多个图形处理器2308生成的图形界面。
在一些实施例中,所述一个或多个处理器2302每个包括用于处理指令的一个或多个处理器核2307,所述指令在被执行时实行用于系统和用户软件的操作。在一些实施例中,所述一个或多个处理器核2307中的每个配置成处理特定的指令集2309。在一些实施例中,指令集2309可以促进复杂指令集计算(CISC)、精简指令集计算(RISC)、或经由超长指令字(VLIW)的计算。多个处理器核2307可以每个处理不同的指令集2309,所述指令集2309可以包括用于促进对其他指令集的仿真的指令。处理器核2307还可以包括其他处理设备,诸如数字信号处理器(DSP)。
在一些实施例中,处理器2302包括高速缓冲存储器2304。取决于架构,处理器2302可以具有单个内部高速缓存或多个内部高速缓存级别。在一些实施例中,在处理器2302的各种部件之间共享高速缓冲存储器。在一些实施例中,处理器2302还使用外部高速缓存(例如,3级(L3)高速缓存或末级高速缓存(LLC))(未示出),可以使用已知的高速缓存一致性技术在处理器核2307之间共享所述外部高速缓存。寄存器堆2306被附加地包括在处理器2302中,其可以包括用于存储不同类型的数据的不同类型的寄存器(例如,整数寄存器、浮点寄存器、状态寄存器、和指令指针寄存器)。一些寄存器可以是通用寄存器,而其他寄存器可以特定于处理器2302的设计。
在一些实施例中,处理器2302与处理器总线2310耦合以在处理器2302与系统2300中的其他部件之间传输通信信号,诸如地址、数据、或控制信号。在一个实施例中,系统2300使用示范‘中枢’系统架构,包括存储器控制器中枢2316和输入输出(I/O)控制器中枢2330。存储器控制器中枢2316促进存储器设备与系统2300的其他部件之间的通信,而I/O控制器中枢(ICH)2330经由本地I/O总线提供到I/O设备的连接。在一个实施例中,存储器控制器中枢2316的逻辑集成在处理器内。
存储器设备2320可以是动态随机存取存储器(DRAM)设备、静态随机存取存储器(SRAM)设备、闪存设备、相变存储器设备、或具有合适的性能以充当进程存储器的某个其他存储器设备。在一个实施例中,存储器设备2320可作为系统2300的系统存储器进行操作,以存储数据2322和指令2321供在所述一个或多个处理器2302执行应用或进程时使用。存储器控制器中枢2316还与可选的外部图形处理器2312耦合,所述可选的外部图形处理器2312可以与处理器2302中的所述一个或多个图形处理器2308通信以执行图形和媒体操作。
在一些实施例中,ICH 2330使得外围设备能够经由高速I/O总线连接至存储器设备2320和处理器2302。I/O外围设备包括但不限于音频控制器2346、固件接口2328、无线收发机2326(例如,Wi-Fi、蓝牙)、数据存储设备2324(例如,硬盘驱动器、闪存等)、以及用于将遗留(例如,个人系统2(PS/2))设备耦合至该系统的遗留I/O控制器2340。一个或多个通用串行总线(USB)控制器2342连接输入设备,诸如键盘和鼠标2344组合。网络控制器2334还可以与ICH 2330耦合。在一些实施例中,高性能网络控制器(未示出)与处理器总线2310耦合。将领会,所示出的系统2300是示范的而非限制性的,因为还可以使用不同地配置的其他类型的数据处理系统。例如,I/O控制器中枢2330可以集成在所述一个或多个处理器2302内,或者存储器控制器中枢2316和I/O控制器中枢2330可以集成到分立的外部图形处理器(诸如外部图形处理器2312)中。
图24是处理器2400的实施例的框图,其具有一个或多个处理器核2402A-2402N、集成存储器控制器2414、以及集成图形处理器2408。图24的具有与本文中的任何其他图的元件相同的参考号(或名称)的那些元件可以以与在本文中的其他地方描述的方式类似的任何方式进行操作或起作用,但不限于这样。处理器2400可以包括多达且包括由虚线块表示的附加核2402N的附加核。处理器核2402A-2402N中的每个包括一个或多个内部高速缓存单元2404A-2404N。在一些实施例中,每个处理器核还能够访问一个或多个共享高速缓存单元2406。
内部高速缓存单元2404A-2404N和共享高速缓存单元2406表示处理器2400内的高速缓冲存储器层级结构。高速缓冲存储器层级结构可以包括每个处理器核内的至少一级指令和数据高速缓存以及一级或多级共享中级高速缓存,诸如2级(L2)、3级(L3)、4级(L4)、或其他级的高速缓存,其中在外部存储器之前的最高级的高速缓存被分类为LLC。在一些实施例中,高速缓存一致性逻辑维持各种高速缓存单元2406与2404A-2404N之间的一致性。
在一些实施例中,处理器2400还可以包括系统代理核2410和一个或多个总线控制器单元2416的集合。所述一个或多个总线控制器单元2416管理一组外围总线,诸如一个或多个外围部件互连总线(例如,PCI、PCI Express)。系统代理核2410提供针对各种处理器部件的管理功能。在一些实施例中,系统代理核2410包括一个或多个集成存储器控制器2414,用于管理对(未示出的)各种外部存储器设备的访问。
在一些实施例中,处理器核2402A-2402N中的一个或多个包括对进行同步多线程的支持。在此类实施例中,系统代理核2410包括用于在多线程处理期间协调和操作处理器核2402A-2402N的部件。系统代理核2410可以附加地包括功率控制单元(PCU),所述功率控制单元包括用于调节处理器核2402A-2402N以及图形处理器2408的功率状态的逻辑和部件。
在一些实施例中,处理器2400附加地包括用于执行图形处理操作的图形处理器2408。在一些实施例中,图形处理器2408与一组共享高速缓存单元2406和系统代理核2410耦合,所述系统代理核2410包括所述一个或多个集成存储器控制器2414。在一些实施例中,显示控制器2411与图形处理器2408耦合以将图形处理器输出驱动到一个或多个耦合的显示器。在一些实施例中,显示控制器2411可以是经由至少一个互连与图形处理器耦合的单独模块,或者可以集成在图形处理器2408或系统代理核2410内。
在一些实施例中,基于环的互连单元2412用于耦合处理器2400的内部部件。然而,可以使用替代的互连单元,诸如点对点互连、切换式互连、或其他技术,包括本领域中公知的技术。在一些实施例中,图形处理器2408经由I/O链路2413与环形互连2412耦合。
示范I/O链路2413表示多种I/O互连中的至少一种,包括促进各种处理器部件与高性能嵌入式存储器模块2418(诸如eDRAM模块)之间的通信的封装上I/O互连。在一些实施例中,处理器核2402A-2402N中的每个处理器核以及图形处理器2408将嵌入式存储器模块2418用作共享的末级高速缓存。
在一些实施例中,处理器核2402A-2402N是执行相同指令集架构的同构核。在另一实施例中,处理器核2402A-2402N在指令集架构(ISA)方面是异构的,其中处理器核2402A-2402N中的一个或多个执行第一指令集,而其他核中的至少一个执行第一指令集的子集或不同的指令集。在一个实施例中,处理器核2402A-2402N在微架构方面是异构的,其中具有相对较高功率消耗的一个或多个核与具有较低功率消耗的一个或多个功率核耦合。另外,处理器2400可以被实现在一个或多个芯片上或者被实现为除其他部件之外还具有所示出的部件的SoC集成电路。
图25是图形处理器2500的框图,所述图形处理器2500可以是分立的图形处理单元、或者可以是与多个处理核一起集成的图形处理器。在一些实施例中,图形处理器经由到图形处理器上的寄存器的存储器映射的I/O接口并且利用被放置到处理器存储器中的命令进行通信。在一些实施例中,图形处理器2500包括用于访问存储器的存储器接口2514。存储器接口2514可以是到本地存储器、一个或多个内部高速缓存、一个或多个共享外部高速缓存、和/或到系统存储器的接口。
在一些实施例中,图形处理器2500还包括用于将显示输出数据驱动到显示设备2520的显示控制器2502。显示控制器2502包括用于显示器的一个或多个重叠平面的硬件以及多层视频或用户界面元素的组成。在一些实施例中,图形处理器2500包括用于对媒体进行编码、解码或者向一个或多个媒体编码格式、从一个或多个媒体编码格式或在一个或多个媒体编码格式之间对媒体进行转码的视频编解码器引擎2506,所述一个或多个媒体编码格式包括但不限于运动图像专家组(MPEG)格式(诸如MPEG-2)、高级视频编码(AVC)格式(诸如H.264/MPEG-4 AVC)、以及电影&电视工程师协会(SMPTE)421 M/VC-1和联合图像专家组(JPEG)格式(诸如JPEG、以及运动JPEG(MJPEG)格式)。
在一些实施例中,图形处理器2500包括用于执行包括例如位边界块传送的二维(2D)光栅化器操作的块图像传送(BLIT)引擎2504。然而,在一个实施例中,使用图形处理引擎(GPE)2510的一个或多个部件执行2D图形操作。在一些实施例中,GPE 2510是用于执行图形操作的计算引擎,所述图形操作包括三维(3D)图形操作和媒体操作。
在一些实施例中,GPE 310包括用于执行3D操作的3D流水线2512,所述3D操作诸如使用对3D图元形状(例如,矩形、三角形等)起作用的处理功能来渲染三维图像和场景。3D流水线2512包括可编程且固定的功能元件,所述可编程且固定的功能元件执行元件内的各种任务和/或向3D/媒体子系统2515大量产生执行线程。虽然3D流水线2512可以用于执行媒体操作,但是GPE 2510的实施例还包括媒体流水线2516,所述媒体流水线2516特别地用于执行媒体操作,诸如视频后处理和图像增强。
在一些实施例中,媒体流水线2516包括固定功能或可编程逻辑单元,以代替、或代表视频编解码器引擎2506来执行一个或多个专门的媒体操作,诸如视频解码加速、视频解交织、以及视频编码加速。在一些实施例中,媒体流水线2516附加地包括线程大量产生单元以大量产生用于在3D/媒体子系统2515上执行的线程。所大量产生的线程为在3D/媒体子系统2515中所包括的一个或多个图形执行单元上的媒体操作执行计算。
在一些实施例中,3D/媒体子系统2515包括用于执行通过3D流水线2512和媒体流水线2516大量产生的线程的逻辑。在一个实施例中,流水线向3D/媒体子系统2515发送线程执行请求,所述3D/媒体子系统2515包括用于仲裁各种请求并将各种请求分派到可用的线程执行资源的线程分派逻辑。执行资源包括用于处理3D和媒体线程的图形执行单元的阵列。在一些实施例中,3D/媒体子系统2515包括用于线程指令和数据的一个或多个内部高速缓存。在一些实施例中,所述子系统还包括共享存储器(包括寄存器和可寻址存储器)以在线程之间共享数据和存储输出数据。
示范附加图形处理引擎
图26是依照一些实施例的图形处理器的图形处理引擎2610的框图。在一个实施例中,图形处理引擎(GPE)2610是图25中示出的GPE 2510的一个版本。图26的具有与本文中的任何其他图的元件相同的参考号(或名称)的元件可以以与在本文中的其他地方描述的方式类似的任何方式进行操作或起作用,但不限于这样。例如,示出了图25的3D流水线2512和媒体流水线2516。媒体流水线2516在GPE 2610的一些实施例中是可选的,并且可能没有显式地包括在GPE 2610内。例如并且在至少一个实施例中,单独的媒体和/或图像处理器被耦合至GPE 2610。
在一些实施例中,GPE 2610与命令流送器2603耦合或包括命令流送器2603,所述命令流送器2603向3D流水线2512和/或媒体流水线2516提供命令流。在一些实施例中,命令流送器2603与存储器耦合,所述存储器可以是系统存储器、或内部高速缓冲存储器和共享高速缓冲存储器中的一个或多个。在一些实施例中,命令流送器2603从存储器接收命令并将命令发送至3D流水线2512和/或媒体流水线2516。所述命令是从存储用于3D流水线2512和媒体流水线2516的命令的环形缓冲器获取的指示。在一个实施例中,环形缓冲器另外可以包括存储多个命令的批次的批命令缓冲器。用于3D流水线2512的命令还可以包括对存储器中存储的数据的引用,所述数据诸如但不限于用于3D流水线2512的顶点和几何数据和/或用于媒体流水线2516的图像数据和存储器对象。3D流水线2512和媒体流水线2516通过经由相应流水线内的逻辑来执行操作或者通过将一个或多个执行线程分派至图形核阵列2614而处理命令和数据。
在各种实施例中,3D流水线2512可以通过处理指令并将执行线程分派给图形核阵列2614来执行一个或多个着色器程序,诸如顶点着色器、几何着色器、像素着色器、片段着色器、计算着色器或其他着色器程序。图形核阵列2614提供统一的执行资源块。图形核阵列2614内的多用途执行逻辑(例如,执行单元)包括对各种3D API着色器语言的支持,并且可以执行与多个着色器相关联的多个同时的执行线程。
在一些实施例中,图形核阵列2614还包括用于执行诸如视频和/或图像处理之类的媒体功能的执行逻辑。在一个实施例中,除了图形处理操作之外,执行单元附加地包括可编程以执行并行通用计算操作的通用逻辑。通用逻辑可以与图23的(多个)处理器核2307或如图24中的处理器核2402A-2402N或本文描述的任何处理器内的通用逻辑并行地或结合地执行处理操作。
由在图形核阵列2614上执行的线程生成的输出数据可以将数据输出到统一返回缓冲器(URB)2618中的存储器。URB 2618可以为多个线程存储数据。在一些实施例中,URB2618可以用于在图形核阵列2614上执行的不同线程之间发送数据。在一些实施例中,URB2618可以另外用于共享功能逻辑2620内的固定功能逻辑与图形核阵列上的线程之间的同步。
在一些实施例中,图形核阵列2614是可缩放的,使得所述阵列包括可变数量的图形核,每个具有基于GPE 2610的目标功率和性能级别的可变数量的执行单元。在一个实施例中,执行资源是动态可缩放的,使得可以根据需要来启用或禁用执行资源。
图形核阵列2614与共享功能逻辑2620耦合,所述共享功能逻辑2620包括在图形核阵列中的图形核之间共享的多个资源。共享功能逻辑2620内的共享功能是向图形核阵列2614提供专门的补充功能的硬件逻辑单元。在各种实施例中,共享功能逻辑2620包括但不限于采样器2621、数学2622和线程间通信(ITC)2623逻辑。另外,一些实施例实现共享功能逻辑2620内的一个或多个高速缓存2625。在针对给定的专门的功能的需求不足以包含在图形核阵列2614内的情况下实现共享功能。替代地,该专门的功能的单个例示被实现为共享功能逻辑2620中的独立实体并且在图形核阵列2614内的执行资源之间共享。在图形核阵列2614之间共享并被包括在图形核阵列2614内的一组精确的功能在实施例之间变化。
图27是图形处理器2700的另一实施例的框图。图27的具有与本文中的任何其他图的元件相同的参考号(或名称)的元件可以以与在本文中的其他地方描述的方式类似的任何方式进行操作或起作用,但不限于这样。
在一些实施例中,图形处理器2700包括环形互连2702、流水线前端2704、媒体引擎2737、以及图形核2780A-2780N。在一些实施例中,环形互连2702将图形处理器耦合至其他处理单元,所述其他处理单元包括其他图形处理器或者一个或多个通用处理器核。在一些实施例中,图形处理器是集成在多核处理系统内的许多处理器中的一个。
在一些实施例中,图形处理器2700经由环形互连2702接收多批命令。传入命令由流水线前端2704中的命令流送器2703来解译。在一些实施例中,图形处理器2700包括用于经由(多个)图形核2780A-2780N执行3D几何处理和媒体处理的可缩放的执行逻辑。对于3D几何处理命令,命令流送器2703将命令供应至几何流水线2736。针对至少一些媒体处理命令,命令流送器2703将命令供应至视频前端2734,所述视频前端2734与媒体引擎2737耦合。在一些实施例中,媒体引擎2737包括用于视频和图像后处理的视频质量引擎(VQE)2730以及用于提供硬件加速的媒体数据编码和解码的多格式编码/解码(MFX)2733引擎。在一些实施例中,几何流水线2736和媒体引擎2737各自针对由至少一个图形核2780A提供的线程执行资源生成执行线程。
在一些实施例中,图形处理器2700包括以模块化核2780A-2780N(有时被称为核切片)为特色的可缩放的线程执行资源,所述模块化核2780A-2780N中的每个具有多个子核2750A-550N、2760A-2760N(有时被称为核子切片)。在一些实施例中,图形处理器2700可以具有任何数量的图形核2780A至2780N。在一些实施例中,图形处理器2700包括图形核2780A,所述图形核2780A至少具有第一子核2750A和第二子核2760A。在其他实施例中,图形处理器是具有单个子核(例如,2750A)的低功率处理器。在一些实施例中,图形处理器2700包括多个图形核2780A-2780N,每个包括一组第一子核2750A-2750N和一组第二子核2760A-2760N。该组第一子核2750A-2750N中的每个子核至少包括第一组执行单元2752A-2752N和媒体/纹理采样器2754A-2754N。该组第二子核2760A-2760N中的每个子核至少包括第二组执行单元2762A-2762N和采样器2764A-2764N。在一些实施例中,每个子核2750A-2750N、2760A-2760N共享一组共享资源2770A-2770N。在一些实施例中,所述共享资源包括共享高速缓冲存储器和像素操作逻辑。其他共享资源也可以被包括在图形处理器的各种实施例中。
示范附加执行单元
图28示出了线程执行逻辑2800,所述线程执行逻辑2800包括在GPE的一些实施例中采用的处理元件的阵列。图28的具有与本文中的任何其他图的元件相同的参考号(或名称)的元件可以以与在本文中的其他地方描述的方式类似的任何方式进行操作或起作用,但不限于这样。
在一些实施例中,线程执行逻辑2800包括着色器处理器2802、线程分派器2804、指令高速缓存2806、包括多个执行单元2808A-2808N的可缩放的执行单元阵列、采样器2810、数据高速缓存2812、以及数据端口2814。在一个实施例中,可缩放的执行单元阵列可以通过基于工作负荷的计算要求来启用或禁用一个或多个执行单元(例如,执行单元2808A、2808B、2808C、2808D至2808N-1和2808N中的任何)来动态地缩放。在一个实施例中,所包括的部件经由互连结构而互连,所述互连结构链接到部件中的每个部件。在一些实施例中,线程执行逻辑2800包括通过指令高速缓存2806、数据端口2814、采样器2810、以及执行单元2808A-2808N中的一个或多个到存储器(诸如系统存储器或高速缓冲存储器)的一个或多个连接。在一些实施例中,每个执行单元(例如,2808A)是能够执行多个同时的硬件线程同时针对每个线程并行地处理多个数据元素的独立可编程通用计算单元。在各种实施例中,执行单元2808A-2808N的阵列是可缩放的以包括任何数量的单独执行单元。
在一些实施例中,执行单元2808A-2808N主要用于执行着色器程序。着色器处理器2802可以处理各种着色器程序并且经由线程分派器2804分派与着色器程序相关联的执行线程。在一个实施例中,线程分派器包括用于对来自图形和媒体流水线的线程发起请求进行仲裁并且在执行单元2808A-2808N中的一个或多个执行单元上实例化所请求的线程的逻辑。例如,几何流水线(例如,图27的2736)可以将顶点、曲面细分或几何着色器分派至线程执行逻辑2800(图28)以用于处理。在一些实施例中,线程分派器2804还可处理来自执行着色器程序的运行时线程大量产生请求。
在一些实施例中,执行单元2808A-2808N支持包括对许多标准3D图形着色器指令的本机支持的指令集,使得以最小的转换来执行来自图形库(例如,Direct 3D和OpenGL)的着色器程序。执行单元支持顶点和几何处理(例如,顶点程序、几何程序、顶点着色器)、像素处理(例如,像素着色器、片段着色器)以及通用处理(例如,计算和媒体着色器)。执行单元2808A-2808N中的每个都有多发布单指令多数据(SIMD)执行的能力,并且多线程操作使得在面对较高等待时间的存储器访问时能实现高效执行环境。每个执行单元内的每个硬件线程都具有专用的高带宽寄存器堆和关联的独立线程状态。对于有整数、单和双精度浮点操作、SIMD分支能力、逻辑操作、超越操作和其他杂项操作能力的流水线,执行是每一时钟的多发布。在等待来自存储器或共享功能中的一个的数据时,执行单元2808A-2808N内的依赖逻辑使等待线程休眠,直到所请求的数据已返回。当等待线程正在休眠时,硬件资源可能会被专门用于处理其他线程。例如,在与顶点着色器操作相关联的延迟期间,执行单元可以执行像素着色器、片段着色器或包括不同顶点着色器的另一类型的着色器程序的操作。
执行单元2808A-2808N中的每个执行单元对数据元素的阵列进行操作。数据元素的数量是“执行大小”、或用于指令的通道的数量。执行通道是用于数据元素访问、掩蔽和指令内的流控制的执行的逻辑单元。通道的数量可以与针对特定图形处理器的物理算术逻辑单元(ALU)或浮点单元(FPU)的数量无关。在一些实施例中,执行单元2808A-2808N支持整数和浮点数据类型。
执行单元指令集包括SIMD指令。各种数据元素可作为压缩数据类型存储在寄存器中,并且执行单元将基于元素的数据大小来处理各种元素。例如,当对256位宽的向量进行操作时,该256位的向量被存储在寄存器中并且执行单元按照四个单独的64位压缩数据元素(四倍字长(QW)大小的数据元素)、八个单独的32位压缩数据元素(双字(DW)大小的数据元素)、十六个单独的16位压缩数据元素(字(W)大小的数据元素)、或三十二个单独的8位数据元素(字节(B)大小的数据元素)对该向量进行操作。然而,不同的向量宽度和寄存器大小是可能的。
一个或多个内部指令高速缓存(例如,2806)被包括在线程执行逻辑2800中以高速缓存用于执行单元的线程指令。在一些实施例中,一个或多个数据高速缓存(例如,2812)被包括用于在线程执行期间高速缓存线程数据。在一些实施例中,采样器2810被包括用于为3D操作提供纹理采样并且为媒体操作提供媒体采样。在一些实施例中,采样器2810包括专门的纹理或媒体采样功能,以在向执行单元提供采样数据之前在采样过程期间处理纹理或媒体数据。
在执行期间,图形和媒体流水线经由线程大量产生和分派逻辑向线程执行逻辑2800发送线程发起请求。一旦一组几何对象已经被处理并被光栅化成像素数据,着色器处理器2802内的像素处理器逻辑(例如,像素着色器逻辑、片段着色器逻辑等)就被调用以进一步计算输出信息并且使得结果被写入到输出表面(例如,颜色缓冲器、深度缓冲器、模板印刷缓冲器等)。在一些实施例中,像素着色器或片段着色器计算要跨经光栅化对象来内插的各种顶点属性的值。在一些实施例中,着色器处理器2802内的像素处理器逻辑然后执行应用编程接口(API)供应的像素或片段着色器程序。为了执行着色器程序,着色器处理器2802经由线程分派器2804将线程分派至执行单元(例如,2808A)。在一些实施例中,像素着色器2802使用采样器2810中的纹理采样逻辑来访问存储器中所存储的纹理映射中的纹理数据。对纹理数据和输入几何数据的算术操作计算每个几何片段的像素颜色数据,或丢弃一个或多个像素以免进一步处理。
在一些实施例中,数据端口2814提供存储器访问机制,供线程执行逻辑2800将经处理的数据输出至存储器以用于在图形处理器输出流水线上进行处理。在一些实施例中,数据端口2814包括或耦合至一个或多个高速缓冲存储器(例如,数据高速缓存2812),以经由数据端口来高速缓存数据用于存储器访问。
图29是示出了根据一些实施例的图形处理器指令格式2900的框图。在一个或多个实施例中,图形处理器执行单元支持具有采用多个格式的指令的指令集。实线块示出了一般被包括在执行单元指令中的分量,而虚线包括可选的或仅被包括在指令的子集中的分量。在一些实施例中,所描述和示出的指令格式2900是宏指令,因为它们是供应至执行单元的指令,与一旦指令被处理由指令解码引起的微操作相反。
在一些实施例中,图形处理器执行单元本机地支持采用128位指令格式2910的指令。基于所选指令、指令选项和操作数的数量,64位压缩指令格式2930可用于一些指令。本机128位指令格式2910提供对所有指令选项的访问,而一些选项和操作限制在64位格式2930中。64位格式2930中可用的本机指令因实施例而不同。在一些实施例中,使用索引字段2913中的一组索引值将指令部分地压缩。执行单元硬件基于索引值来引用一组压缩表,并使用压缩表输出来重构采用128位指令格式2910的本机指令。
针对每个格式,指令操作码2912定义执行单元要执行的操作。执行单元跨每个操作数的多个数据元素来并行地执行每个指令。例如,响应于添加指令,执行单元跨每个颜色通道执行同时添加操作,所述每个颜色通道表示纹理元素或图片元素。默认地,执行单元跨操作数的所有数据通道执行每个指令。在一些实施例中,指令控制字段2914使能控制某些执行选项,诸如通道选择(例如,预测)以及数据通道排序(例如,拌和)。针对采用128位指令格式2910的指令,执行大小字段2916限制了将并行执行的数据通道的数量。在一些实施例中,执行大小字段2916不可用于在64位压缩指令格式2930中使用。
一些执行单元指令具有多达三个操作数,包括两个源操作数——src0 2920、src12922和一个目的地2918。在一些实施例中,执行单元支持双目的地指令,其中目的地中的一个是隐式的。数据操纵指令可以具有第三源操作数(例如,SRC2 2924),其中指令操作码2912确定源操作数的数量。指令的最后的源操作数可以是利用所述指令传递的立即(例如,硬编码)值。
在一些实施例中,128位指令格式2910包括访问/寻址模式字段2926,所述访问/寻址模式字段2926指定例如是使用直接寄存器寻址模式还是间接寄存器寻址模式。当使用直接寄存器寻址模式时,直接由指令中的位来提供一个或多个操作数的寄存器地址。
在一些实施例中,128位指令格式2910包括访问/寻址模式字段2926,所述访问/寻址模式字段2926指定指令的寻址模式和/或访问模式。在一个实施例中,访问模式用于限定针对指令的数据访问对齐。一些实施例支持包括16字节对齐的访问模式和1字节对齐的访问模式的访问模式,其中访问模式的字节对齐确定了指令操作数的访问对齐。例如,当在第一模式中时,指令可以将字节对齐的寻址用于源操作数和目的地操作数,并且当在第二模式中时,指令可以将16字节对齐的寻址用于所有源操作数和目的地操作数。
在一个实施例中,访问/寻址模式字段2926的寻址模式部分确定指令要使用直接寻址还是间接寻址。当使用直接寄存器寻址模式时,指令中的位直接提供一个或多个操作数的寄存器地址。当使用间接寄存器寻址模式时,可以基于指令中的地址寄存器值和地址立即数字段来计算一个或多个操作数的寄存器地址。
在一些实施例中,基于操作码2912位字段对指令进行分组以简化操作码解码2940。针对8位操作码,第4、5和6位允许执行单元确定操作码的类型。所示出的精确操作码分组仅是示范的。在一些实施例中,移动和逻辑操作码组2942包括数据移动和逻辑指令(例如,移动(mov)、比较(cmp))。在一些实施例中,移动和逻辑组2942共享五个最高有效位(MSB),其中移动(mov)指令采用0000xxxxb的形式并且逻辑指令采用0001xxxxb的形式。流控制指令组2944(例如,调用、跳(jmp))包括采用0010xxxxb(例如,0x20)形式的指令。杂项指令组2946包括指令的混合,包括采用0011xxxxb(例如,0x30)形式的同步指令(例如,等待、发送)。并行数学指令组2948包括采用0100xxxxb(例如,0x40)形式的分量方面的算术指令(例如,加、乘(mul))。并行数学组2948跨数据通道并行地执行算术操作。向量数学组2950包括采用0101xxxxb(例如,0x50)形式的算术指令(例如,dp4)。向量数学组对向量操作数执行算术,诸如点积计算。
示范附加图形流水线
图30是图形处理器3000的另一实施例的框图。图30的具有与本文中的任何其他图的元件相同的参考号(或名称)的元件可以以与在本文中的其他地方描述的方式类似的任何方式进行操作或起作用,但不限于这样。
在一些实施例中,图形处理器3000包括图形流水线3020、媒体流水线3030、显示引擎3040、线程执行逻辑3050、以及渲染输出流水线3070。在一些实施例中,图形处理器3000是包括一个或多个通用处理核的多核处理系统内的图形处理器。图形处理器由至(未示出的)一个或多个控制寄存器的寄存器写入来控制或者经由通过环形互连3002发布到图形处理器3000的命令来控制。在一些实施例中,环形互连3002将图形处理器3000耦合至其他处理部件,诸如其他图形处理器或通用处理器。来自环形互连3002的命令由命令流送器3003来解译,所述命令流送器3003将指令供应到图形流水线3020或媒体流水线3030的单独部件。
在一些实施例中,命令流送器3003引导顶点获取器3005的操作,所述顶点获取器3005从存储器读取顶点数据并执行由命令流送器3003所提供的顶点处理命令。在一些实施例中,顶点获取器3005将顶点数据提供给顶点着色器3007,所述顶点着色器3007对每个顶点执行坐标空间变换和光照操作。在一些实施例中,顶点获取器3005和顶点着色器3007通过经由线程分派器3031向执行单元3052A-3052B分派执行线程来执行顶点处理指令。
在一些实施例中,执行单元3052A-3052B是具有用于执行图形和媒体操作的指令集的向量处理器的阵列。在一些实施例中,执行单元3052A-3052B具有附接的L1高速缓存3051,所述L1高速缓存3051是针对每个阵列特定的或在阵列之间共享。该高速缓存可以被配置为数据高速缓存、指令高速缓存或单个高速缓存,其被分区以将数据和指令包含在不同分区中。
在一些实施例中,图形流水线3020包括用于执行3D对象的硬件加速的曲面细分的曲面细分部件。在一些实施例中,可编程的外壳着色器811配置曲面细分操作。可编程域着色器817提供对曲面细分输出的后端评估。曲面细分器3013在外壳着色器3011的方向上进行操作并且包含专用逻辑,所述专用逻辑用于基于粗糙几何模型来生成一组详细的几何对象,其被作为输入提供到图形流水线3020。在一些实施例中,如果未使用曲面细分,则可以绕开曲面细分部件(例如,外壳着色器3011、曲面细分器3013和域着色器3017)。
在一些实施例中,完整的几何对象可以由几何着色器3019经由分派给执行单元3052A-3052B的一个或多个线程来处理,或者可以直接行进至剪辑器3029。在一些实施例中,几何着色器对整个几何对象而非对如在图形流水线的先前阶段中的顶点或者顶点补丁进行操作。如果禁用曲面细分,则几何着色器3019从顶点着色器3007接收输入。在一些实施例中,几何着色器3019可由几何着色器程序编程以在曲面细分单元被禁用时执行几何曲面细分。
在光栅化之前,剪辑器3029处理顶点数据。剪辑器3029可以是固定功能的剪辑器或者具有剪辑和几何着色器功能的可编程剪辑器。在一些实施例中,渲染输出流水线3070中的光栅化器和深度测试部件3073分派像素着色器以将几何对象转换成其每一像素表示。在一些实施例中,像素着色器逻辑被包括在线程执行逻辑3050中。在一些实施例中,应用可以绕开光栅化器和深度测试部件3073并且经由流出单元3023访问未光栅化的顶点数据。
图形处理器3000具有互连总线、互连结构或允许数据和消息在该处理器的主要部件之间传递的某个其他互连机构。在一些实施例中,执行单元3052A-3052B和(多个)相关联的高速缓存3051、纹理和媒体采样器3054、以及纹理/采样器高速缓存3058经由数据端口3056进行互连以执行存储器访问并且与处理器的渲染输出流水线部件进行通信。在一些实施例中,采样器3054、高速缓存3051、3058以及执行单元3052A-3052B各自具有单独的存储器访问路径。
在一些实施例中,渲染输出流水线3070包含光栅化器和深度测试部件3073,其将基于顶点的对象转换成相关联的基于像素的表示。在一些实施例中,光栅化器逻辑包括用于执行固定功能三角形和线光栅化的窗口器/掩蔽器单元。相关联的渲染高速缓存3078和深度高速缓存3079在一些实施例中也是可用的。像素操作部件3077对数据执行基于像素的操作,然而在一些实例中,与2D操作(例如,利用混合的位块图像传送)相关联的像素操作由2D引擎3041执行,或者在显示时间由显示控制器3043使用重叠显示平面来代替。在一些实施例中,共享的L3高速缓存3075可用于所有图形部件,从而允许在无需使用主系统存储器的情况下共享数据。
在一些实施例中,图形处理器媒体流水线3030包括媒体引擎3037和视频前端3034。在一些实施例中,视频前端3034从命令流送器3003接收流水线命令。在一些实施例中,媒体流水线3030包括单独的命令流送器。在一些实施例中,视频前端3034在将媒体命令发送至媒体引擎3037之前处理该命令。在一些实施例中,媒体引擎3037包括线程大量产生功能,以大量产生线程用于经由线程分派器3031分派至线程执行逻辑3050。
在一些实施例中,图形处理器3000包括显示引擎3040。在一些实施例中,显示引擎3040在处理器3000外部并且经由环形互连3002或者某个其他互连总线或结构与图形处理器耦合。在一些实施例中,显示引擎3040包括2D引擎3041和显示控制器3043。在一些实施例中,显示引擎3040包含能够独立于3D流水线而操作的专用逻辑。在一些实施例中,显示控制器3043与(未示出的)显示设备耦合,所述显示设备可以是系统集成显示设备(如在膝上型计算机中)、或者经由显示设备连接器附接的外部显示设备。
在一些实施例中,图形流水线3020和媒体流水线3030可配置成基于多个图形和媒体编程接口来执行操作并且并非特定于任一应用编程接口(API)。在一些实施例中,用于图形处理器的驱动器软件将特定于特定图形或媒体库的API调用转换成可以由图形处理器处理的命令。在一些实施例中,为都来自Khronos Group的开放图形库(OpenGL)、开放计算语言(OpenCL)和/或Vulkan图形和计算API提供支持。在一些实施例中,也可以为来自微软公司的Direct3D库提供支持。在一些实施例中,可以支持这些库的组合。还可以为开源计算机视觉库(OpenCV)提供支持。如果可以作出从未来的API的流水线到图形处理器的流水线的映射,则具有兼容的3D流水线的未来的API也将受到支持。
图形流水线编程
图31A是示出了根据一些实施例的图形处理器命令格式3100的框图。图31B是示出了根据实施例的图形处理器命令序列3110的框图。图31A中的实线块示出了一般被包括在图形命令中的分量,而虚线包括可选的或者仅被包括在该图形命令的子集中的分量。图31A的示范图形处理器命令格式3100包括用于标识命令的目标客户端3102、命令操作代码(操作码)3104、以及命令的相关数据3106的数据字段。一些命令中还包括子操作码3105和命令大小3108。
在一些实施例中,客户端3102指定处理命令数据的图形设备的客户端单元。在一些实施例中,图形处理器命令解析器检查每个命令的客户端字段以调节对命令的进一步处理并将命令数据路由至适当的客户端单元。在一些实施例中,图形处理器客户端单元包括存储器接口单元、渲染单元、2D单元、3D单元、和媒体单元。每个客户端单元具有对命令进行处理的对应处理流水线。一旦命令被客户端单元接收到,客户端单元就读取操作码3104以及子操作码3105(如果存在的话)来确定要执行的操作。客户端单元使用数据字段3106中的信息来执行命令。针对一些命令,期望显式的命令大小3108来指定命令的大小。在一些实施例中,命令解析器基于命令操作码来自动地确定命令中的至少一些命令的大小。在一些实施例中,经由双字的倍数对命令进行对齐。
图31B中的流程示出了示范图形处理器命令序列3110。在一些实施例中,以图形处理器的实施例为特色的数据处理系统的软件或固件使用所示出的命令序列的版本来建立、执行和终止一组图形操作。仅出于示例的目的示出并描述了样本命令序列,因为实施例不限于这些特定命令或者此命令序列。而且,所述命令可以被作为命令序列中的一批命令来发布,使得图形处理器将至少部分同时地处理命令的序列。
在一些实施例中,图形处理器命令序列3110可以以流水线转储清除命令3112开始,以使得任何活跃的图形流水线完成针对该流水线的当前未决命令。在一些实施例中,3D流水线3122和媒体流水线3124不同时进行操作。执行流水线转储清除以使得活跃的图形流水线完成任何未决命令。响应于流水线转储清除,用于图形处理器的命令解析器将暂停命令处理直到活跃的绘图引擎完成未决操作和相关的读取高速缓存为无效的。可选地,渲染高速缓存中被标记为‘脏’的任何数据可以被转储清除到存储器。在一些实施例中,流水线转储清除命令3112可以用于流水线同步或者用在将图形处理器置于低功率状态中之前。
在一些实施例中,当命令序列要求图形处理器在流水线之间显式地切换时,使用流水线选择命令3113。在一些实施例中,在发布流水线命令之前在执行上下文内仅需要一次流水线选择命令3113,除非该上下文要发布针对两个流水线的命令。在一些实施例中,紧接在经由流水线选择命令3113的流水线切换之前需要流水线转储清除命令3112。
在一些实施例中,流水线控制命令3114配置用于操作的图形流水线并且用于对3D流水线3122和媒体流水线3124进行编程。在一些实施例中,流水线控制命令3114为活跃的流水线配置流水线状态。在一个实施例中,流水线控制命令3114用于流水线同步并且用于在处理一批命令之前清除来自活跃的流水线内的一个或多个高速缓冲存储器的数据。
在一些实施例中,返回缓冲器状态命令3116用于配置一组返回缓冲器以用于使相应的流水线写入数据。一些流水线操作要求对一个或多个返回缓冲器的分配、选择或配置,所述操作在处理期间将中间数据写入到所述一个或多个返回缓冲器中。在一些实施例中,图形处理器还使用一个或多个返回缓冲器来存储输出数据并且执行跨线程通信。在一些实施例中,返回缓冲器状态3116包括选择要用于一组流水线操作的返回缓冲器的大小和数量。
命令序列中的剩余命令基于用于操作的活跃的流水线而不同。基于流水线确定3120,命令序列适合以3D流水线状态3130开始的3D流水线3122或者在媒体流水线状态3140下开始的媒体流水线3124。
用于配置3D流水线状态3130的命令包括用于顶点缓冲器状态、顶点元素状态、常量颜色状态、深度缓冲器状态、以及要在处理3D图元命令之前配置的其他状态变量的3D状态设置命令。这些命令的值至少部分地基于使用中的特定3D API来确定。在一些实施例中,3D流水线状态3130命令还能够选择性地禁用或绕开某些流水线元件,如果将不使用那些元件的话。
在一些实施例中,3D图元3132命令用于提交要由3D流水线处理的3D图元。经由3D图元3132命令传递给图形处理器的命令和相关联的参数被转发到图形流水线中的顶点获取功能。顶点获取功能使用3D图元3132命令数据来生成顶点数据结构。顶点数据结构被存储在一个或多个返回缓冲器中。在一些实施例中,3D图元3132命令用于经由顶点着色器对3D图元执行顶点操作。为了处理顶点着色器,3D流水线3122将着色器执行线程分派到图形处理器执行单元。
在一些实施例中,经由执行3134命令或事件来触发3D流水线3122。在一些实施例中,寄存器写入触发命令执行。在一些实施例中,经由命令序列中的‘前进(go)’或‘踢(kick)’命令来触发执行。在一个实施例中,使用流水线同步命令来触发命令执行以通过图形流水线来转储清除命令序列。3D流水线将执行针对3D图元的几何处理。一旦操作完成,所产生的几何对象就被光栅化并且像素引擎对所产生的像素进行着色。针对那些操作,还可以包括用于控制像素着色和像素后端操作的附加命令。
在一些实施例中,当执行媒体操作时,图形处理器命令序列3110跟随媒体流水线3124路径。一般地,针对媒体流水线3124的编程的特定使用和方式取决于要执行的媒体或计算操作。在媒体解码期间,特定的媒体解码操作可以被卸载到该媒体流水线。在一些实施例中,还可以绕开该媒体流水线,并且可使用由一个或多个通用处理核提供的资源来整体地或部分地执行媒体解码。在一个实施例中,媒体流水线还包括用于通用图形处理器单元(GPGPU)操作的元件,其中图形处理器被用于使用与渲染图形图元不显式相关的计算着色器程序来执行SIMD向量操作。
在一些实施例中,以与3D流水线3122类似的方式对媒体流水线3124进行配置。将用于配置媒体流水线状态3140的一组命令分派或放置到命令队列中,在媒体对象命令3142之前。在一些实施例中,媒体流水线状态命令3140包括用于配置媒体流水线元件的数据,所述媒体流水线元件将用于处理媒体对象。这包括用于在媒体流水线内配置视频解码和视频编码逻辑的数据,诸如编码或解码格式。在一些实施例中,媒体流水线状态命令3140也支持对指向包含一批状态设置的“间接”状态元素的一个或多个指针的使用。
在一些实施例中,媒体对象命令3142将指针供应至媒体对象以用于由媒体流水线进行处理。媒体对象包括存储器缓冲器,所述存储器缓冲器包含要被处理的视频数据。在一些实施例中,在发布媒体对象命令3142之前,所有的媒体流水线状态必须是有效的。一旦流水线状态被配置并且媒体对象命令3142被排队,就经由执行命令3144或等同的执行事件(例如,寄存器写入)来触发媒体流水线3124。然后可以通过由3D流水线3122或媒体流水线3124提供的操作对来自媒体流水线3124的输出进行后处理。在一些实施例中,以与媒体操作类似的方式来配置和执行GPGPU操作。
图形软件架构
图32示出了根据一些实施例的数据处理系统3200的示范图形软件架构。在一些实施例中,软件架构包括3D图形应用3210、操作系统3220、以及至少一个处理器3230。在一些实施例中,处理器3230包括图形处理器3232以及一个或多个通用处理器核3234。图形应用3210和操作系统3220各自在数据处理系统的系统存储器3250中执行。
在一些实施例中,3D图形应用3210包含包括着色器指令3212的一个或多个着色器程序。着色器语言指令可以采用高级着色器语言,诸如高级着色器语言(HLSL)或OpenGL着色器语言(GLSL)。应用还包括用适合于由通用处理器核3234执行的机器语言的可执行指令3214。应用还包括由顶点数据限定的图形对象3216。
在一些实施例中,操作系统3220是来自微软公司的Microsoft® Windows®操作系统、专有的类似UNIX的操作系统、或使用Linux内核的变体的开源的类似UNIX的操作系统。操作系统3220可以支持图形API 3222,诸如Direct3D API、OpenGL API或Vulkan API。当Direct3D API在使用中时,操作系统3220使用前端着色器编译器3224将用HLSL的任何着色器指令3212编译成较低级的着色器语言。所述编译可以是即时(JIT)编译,或者所述应用可以执行着色器预编译。在一些实施例中,在3D图形应用3210的编译期间,将高级着色器编译成低级着色器。在一些实施例中,以中间形式提供着色器指令3212,诸如由Vulkan API使用的标准便携式中间表示(SPIR)的版本。
在一些实施例中,用户模式图形驱动器3226包含后端着色器编译器3227,用于将着色器指令3212转换成硬件特定的表示。当OpenGL API在使用中时,将用GLSL高级语言的着色器指令3212传递至用户模式图形驱动器3226以用于编译。在一些实施例中,用户模式图形驱动器3226使用操作系统内核模式功能3228来与内核模式图形驱动器3229进行通信。在一些实施例中,内核模式图形驱动器3229与图形处理器3232进行通信以分派命令和指令。
IP核实现
至少一个实施例的一个或多个方面可以由存储在机器可读介质上的代表性代码来实现,所述机器可读介质表示和/或限定诸如处理器之类的集成电路内的逻辑。例如,机器可读介质可以包括表示处理器内的各种逻辑的指令。当由机器读取时,所述指令可以使机器制造用于执行本文中所描述的技术的逻辑。此类表示(称为“IP核”)是用于集成电路的逻辑的可重复使用单元,其可以被作为描述集成电路的结构的硬件模型而存储在有形、机器可读介质上。可以将硬件模型供应至在制造集成电路的制造机器上加载硬件模型的各种消费者或制造设施。可以制造集成电路,使得该电路执行与本文中所描述的实施例中的任何实施例相关联地描述的操作。
图33是示出了根据实施例的可以用于制造集成电路以执行操作的IP核开发系统3300的框图。IP核开发系统3300可以用于生成可以结合到更大的设计中或用于构建整个集成电路(例如,SOC集成电路)的模块化、可重复使用的设计。设计设施3330可以用高级编程语言(例如,C/C++)生成IP核设计的软件仿真3310。软件仿真3310可用于使用仿真模型3312来设计、测试和验证IP核的行为。仿真模型3312可以包括功能、行为和/或时序仿真。然后可以从仿真模型3312创建或合成寄存器传输级(RTL)设计3315。RTL设计3315是对硬件寄存器之间的数字信号的流动进行建模的集成电路的行为的抽象,其包括使用建模的数字信号执行的相关联逻辑。除了RTL设计3315之外,还可以创建、设计或合成逻辑级别或晶体管级别处的较低级别设计。因此,初始设计和仿真的特定细节可能变化。
可以由设计设施将RTL设计3315或等同方案进一步合成为硬件模型3320,所述硬件模型3320可以用硬件描述语言(HDL)或物理设计数据的某种其他表示。可以进一步仿真或测试HDL以验证IP核设计。可使用非易失性存储器3340(例如,硬盘、闪存、或任何非易失性存储介质)来存储IP核设计以用于递送至第3方制造设施3365。替代地,可以通过有线连接3350或无线连接3360来(例如,经由互联网)传输IP核设计。制造设施3365然后可以制造至少部分地基于IP核设计的集成电路。所制造的集成电路可配置成执行依照本文中所描述的至少一个实施例的操作。
示范片上系统集成电路
图34-36示出了根据本文中所描述的各种实施例的可以使用一个或多个IP核来制造的示范集成电路和相关联的图形处理器。除了所示出的事物之外,还可以包括其他逻辑和电路,包括附加的图形处理器/核、外围接口控制器或通用处理器核。
图34是示出了根据实施例的可以使用一个或多个IP核来制造的示范片上系统集成电路3400的框图。示范集成电路3400包括一个或多个应用处理器3405(例如,CPU)、至少一个图形处理器3410,并且另外可以包括图像处理器3415和/或视频处理器3420,其中的任何都可以是来自相同或多个不同设计设施的模块化IP核。集成电路3400包括外围或总线逻辑,其包括USB控制器3425、UART控制器3430、SPI/SDIO控制器3435和I2S/I2C控制器3440。另外,集成电路可以包括显示设备3445,所述显示设备3445耦合至高清晰度多媒体接口(HDMI)控制器3450和移动行业处理器接口(MIPI)显示界面3455中的一个或多个。可以由包括闪存和闪存控制器的闪存子系统3460来提供存储。可以经由存储器控制器3465来提供存储器接口以用于对SDRAM或SRAM存储器设备的访问。一些集成电路附加地包括嵌入式安全引擎3470。
图35是示出了根据实施例的可以使用一个或多个IP核来制造的片上系统集成电路的示范图形处理器3510的框图。图形处理器3510可以是图34的图形处理器3410的变体。图形处理器3510包括顶点处理器3505和一个或多个片段处理器3515A-3515N(例如,3515A、3515B、3515C、3515D至3515N-1和3515N)。图形处理器3510可以经由单独的逻辑执行不同的着色器程序,使得顶点处理器3505被优化以执行用于顶点着色器程序的操作,而所述一个或多个片段处理器3515A-3515N执行用于片段或像素着色器程序的片段(例如,像素)着色操作。顶点处理器3505执行3D图形流水线的顶点处理阶段并生成图元和顶点数据。(多个)片段处理器3515A-3515N使用由顶点处理器3505生成的图元和顶点数据来产生显示在显示设备上的帧缓冲器。在一个实施例中,(多个)片段处理器3515A-3515N被优化以执行如在OpenGL API中提供的片段着色器程序,所述片段着色器程序可以用于执行与如在Direct3D API中提供的像素着色器程序类似的操作。
图形处理器3510附加地包括一个或多个存储器管理单元(MMU)3520A-3520B、高速缓存3525A-3525B和电路互连3530A-3530B。所述一个或多个MMU 3520A-3520B为图像处理器3510,包括为顶点处理器3505和/或(多个)片段处理器3515A-3515N,提供虚拟到物理地址映射,所述虚拟到物理地址映射除了存储在所述一个或多个高速缓存3525A-3525B中的顶点或图像/纹理数据之外还可以引用存储在存储器中的顶点或图像/纹理数据。在一个实施例中,所述一个或多个MMU 3520A-3520B可以与系统内的其他MMU同步,所述其他MMU包括与图34的所述一个或多个应用处理器3405、图像处理器3415和/或视频处理器3420相关联的一个或多个MMU,使得每个处理器3405-3420可以参与共享或统一的虚拟存储器系统。根据实施例,所述一个或多个电路互连3530A-3530B使得图形处理器3510能够经由SoC的内部总线或经由直接连接与SoC内的其他IP核对接。
图36是示出了根据实施例的可以使用一个或多个IP核来制造的片上系统集成电路的附加示范图形处理器3610的框图。图形处理器3610可以是图34的图形处理器3410的变体。图形处理器3610包括图35的集成电路3500的所述一个或多个MMU 3520A-3520B、高速缓存3525A-3525B和电路互连3530A-3530B。
图形处理器3610包括一个或多个着色器核3615A-3615N(例如,3615A、3615B、3615C、3615D、3615E、3615F至3615N-1和3615N),它们提供统一的着色器核架构,其中单个核或类型或核可以执行所有类型的可编程着色器代码,所述可编程着色器代码包括用于实现顶点着色器、片段着色器和/或计算着色器的着色器程序代码。存在的着色器核的确切数量可以在实施例和实现之中变化。另外,图形处理器3610包括核间任务管理器3605,所述核间任务管理器3605充当用于将执行线程分派给一个或多个着色器核3615A-3615N的线程分派器,以及用于使分块操作加速以用于进行基于图块的渲染的分块单元3618,其中针对场景的渲染操作在图像空间中被细分,例如用于利用场景内的局部空间相干性或用于优化对内部高速缓存的使用。
本发明还提供了一组技术方案如下:
1. 一种通用图形处理单元,包括:
包括控制单元的动态精度浮点单元,所述控制单元具有精度跟踪硬件逻辑以跟踪与目标精度相关的计算数据的精度位的可用数量,其中所述动态精度浮点单元包括计算逻辑来以多个精度输出数据。
2. 如技术方案1所述的通用图形处理单元,其中所述动态精度浮点单元包括寄存器集合来以多个精度存储输入数据和中间数据。
3. 如技术方案2所述的通用图形处理单元,其中所述寄存器集合包括误差累加器以跟踪浮点操作集合上的累加误差。
4. 如技术方案1所述的通用图形处理单元,所述动态精度浮点单元包括有效位数块以执行浮点计算的有效位数部分,所述有效位数块包括动态精度加法器,所述动态精度加法器可配置成以多个精度加上或减去输入数据。
5. 如技术方案4所述的通用图形处理单元,所述有效位数块包括动态精度乘法器,所述动态精度乘法器可配置成以多个精度加上或乘以或除以输入数据。
6. 如技术方案5所述的通用图形处理单元,所述动态精度浮点单元包括指数块以执行浮点计算的指数部分,所述指数块包括动态精度加法器,所述动态精度加法器可配置成以多个精度加上或减去输入数据的指数。
7. 如技术方案6所述的通用图形处理单元,所述指数块和所述有效位数块用来执行第一浮点操作以输出具有16位精度的第一输出值。
8. 如技术方案7所述的通用图形处理单元,所述指数块和所述有效位数块用来执行第二操作以输出具有32位精度的第二输出值。
9. 如技术方案8所述的通用图形处理单元,所述指数块和所述有效位数块用来对具有32位值的输入数据执行第三浮点操作,以输出具有32位数据类型的第三输出值,所述第三输出值以16位精度生成。
10. 如技术方案9所述的通用图形处理单元,所述指数块包括8位乘法器,并且其中所述指数块和所述有效位数块可配置成执行双8位整数操作。
11. 一种在通用图形处理单元的硬件内执行可变精度操作的方法,所述方法包括:
接收以第一精度执行数值操作的请求;
使用与比所述第一精度低的第二精度关联的多个位来执行所述数值操作;
以所述第二精度生成中间结果;
确定所述中间结果的精度损失;以及
当所述中间结果的所述精度损失低于阈值时,以所述第二精度输出结果。
12. 如技术方案11所述的方法,其中所述阈值经由软件逻辑可配置。
13. 如技术方案11所述的方法,其中所述阈值是默认硬件值。
14. 如技术方案11所述的方法,附加地包括:
当所述中间结果的所述精度损失大于所述阈值时计算结果的剩余位;以及
以所述第一精度输出所述结果。
15. 如技术方案14所述的方法,其中使用与比所述第一精度低的所述第二精度关联的多个位来执行所述数值操作包括使用逻辑单元的第一集合执行所述数值操作并且计算结果的剩余位,当所述中间结果的所述精度损失大于所述阈值时,包括使用逻辑单元的第二集合计算结果的剩余位。
16. 一种数据处理系统,包括:
非暂时性机器可读介质,用来存储用于由所述数据处理系统的一个或多个处理器执行的指令;以及
包括动态精度浮点单元的通用图形处理单元,所述动态精度浮点单元包括控制单元,所述控制单元具有精度跟踪硬件逻辑以跟踪与目标精度相关的计算数据的精度位的可用数量,其中所述动态精度浮点单元包括计算逻辑来以多个精度输出数据。
17. 如技术方案16所述的数据处理系统,其中所述动态精度浮点单元包括寄存器集合来以多个精度存储输入数据和中间数据。
18. 如技术方案17所述的数据处理系统,其中所述寄存器集合包括误差累加器以跟踪浮点操作集合上的累加误差。
19. 如技术方案16所述的数据处理系统,所述动态精度浮点单元包括有效位数块以执行浮点计算的有效位数部分,所述有效位数块包括动态精度加法器,所述动态精度加法器可配置成以多个精度加上或减去输入数据。
20. 如技术方案19所述的数据处理系统,所述有效位数块包括动态精度乘法器,所述动态精度乘法器可配置成以多个精度加上或乘以或除以输入数据。
下面条款和/或示例涉及特定实施例或其示例。示例中的特定细节可用在一个或多个实施例中的任何地方。不同实施例或示例的各种特征可按各种方式与所包括的一些特征和所排除的其他特征组合,以适用各种各样的不同的应用。示例可包括主题,诸如方法、用于执行方法的动作的部件、包括指令的至少一个机器可读介质,所述指令当由机器执行时,使机器执行根据本文描述的实施例和示例的方法或设备或系统的动作。各种部件可以是用于执行描述的操作或功能的部件。
本文描述的实施例指配置成执行某些操作或具有预定功能性的硬件(例如专用集成电路(ASIC))的特定配置。此类电子设备通常包括耦合到一个或多个其他部件的一个或多个处理器的集合,诸如一个或多个存储设备(非暂时性机器可读存储媒体)、用户输入/输出设备(例如键盘、触摸屏和/或显示器)和网络连接。处理器集合和它其他部件的耦合通常通过一个或多个总线和桥(也称为总线控制器)。携带网络业务的存储设备和信号分别表示一个或多个机器可读存储媒体和机器可读通信媒体。因此,给定电子设备的存储设备通常存储用于在该电子设备的一个或多个处理器的集合上存储用于执行的代码和/或数据。
当然,实施例的一个或多个部分可以使用软件、固件和/或硬件的不同组合来实现。遍及本详细描述,出于解释的目的,阐述了众多特定细节以便提供对本发明的透彻理解。然而,将对本领域技术人员显而易见的是,可以在没有这些特定细节中的一些的情况下实践实施例。在某些实例中,没有以详尽细节描述众所周知的结构和功能以避免模糊实施例的发明主题。相应地,本发明的范围和精神应该根据跟着的权利要求来判断。

Claims (16)

1.一种多芯片模块上的加速器,所述加速器包括:
堆叠式存储器,所述堆叠式存储器包括多个存储器管芯;以及
经由一个或多个存储器控制器与所述堆叠式存储器耦合的图形处理单元GPU,所述GPU包括具有单指令多线程SIMT架构的多个多处理器,所述多处理器要执行至少一个单指令,所述至少一个单指令要加速与机器学习框架相关联的线性代数子程序;
所述至少一个单指令要促使所述GPU的至少一部分对具有不同精度的输入执行浮点操作,所述浮点操作是二维矩阵乘法和累加操作;
其中所述多个多处理器的至少一部分包括混合精度核,所述混合精度核要执行所述至少一个单指令的线程,所述混合精度核包括要以第一精度执行所述线程的第一操作并且以第二精度执行所述线程的第二操作的浮点单元;以及
其中所述第一操作是具有至少一个16位浮点输入的乘法并且所述第二操作是具有32位浮点输入的累加。
2.如权利要求1所述的加速器,所述堆叠式存储器包括高带宽存储器。
3.如权利要求1所述的加速器,其中所述堆叠式存储器位于与所述GPU相同的物理封装上。
4.如权利要求1所述的加速器,所述混合精度核以16位精度执行所述第一操作并且以32位精度执行所述第二操作。
5.如权利要求1所述的加速器,其中,所述第一操作具有两个或多于两个16位浮点输入。
6.如权利要求1所述的加速器,所述混合精度核能够配置成从所述二维矩阵乘法和累加操作输出16位浮点值。
7.一种加速机器学习操作的方法,所述方法包括:
在图形处理单元GPU上解码单指令,所述GPU具有单指令多线程SIMT架构,所述GPU经由一个或多个存储器控制器与堆叠式存储器耦合;以及
经由所述GPU内的一个或多个多处理器执行所述单指令,所述单指令要促使所述GPU的至少一部分执行二维矩阵乘法和累加操作以加速与机器学习框架相关联的线性代数子程序,其中执行所述单指令包括在所述一个或多个多处理器的混合精度核上执行所述单指令的线程,所述混合精度核包括要以第一精度执行所述线程的第一操作并且以第二精度执行所述线程的第二操作的浮点单元,其中所述第一操作是具有至少一个16位浮点输入的乘法并且所述第二操作是具有32位浮点输入的累加。
8.如权利要求7所述的方法,另外包括使用所述混合精度核对输入执行多个操作以生成二维输出矩阵并且经由所述一个或多个存储器控制器将所述二维输出矩阵存储到所述堆叠式存储器。
9.如权利要求8所述的方法,其中所述堆叠式存储器包括高带宽存储器并且位于与所述GPU相同的物理封装上。
10.如权利要求7所述的方法,其中所述第一精度是16位精度并且所述第二精度是32位精度。
11.如权利要求7所述的方法,另外包括生成所述二维矩阵乘法和累加操作的16位浮点输出。
12.一种数据处理系统,包括:
堆叠式存储器,所述堆叠式存储器包括多个存储器管芯,所述堆叠式存储器包括高带宽存储器;以及
经由一个或多个存储器控制器与所述堆叠式存储器耦合的图形处理单元GPU,所述GPU包括具有单指令多线程SIMT架构的多个多处理器,其中所述多个多处理器的至少一部分包括混合精度核,所述混合精度核要执行至少一个单指令的线程,所述至少一个单指令要加速与机器学习框架相关联的线性代数子程序,所述混合精度核包括要以第一精度执行所述线程的第一操作并且以第二精度执行所述线程的第二操作的浮点单元;以及
其中所述至少一个单指令要促使所述混合精度核对具有不同精度的输入执行二维矩阵乘法和累加浮点操作的线程,所述二维矩阵乘法和累加操作包括所述第一操作和所述第二操作,所述第一操作是具有至少一个16位浮点输入的乘法并且所述第二操作是具有32位浮点输入的累加。
13.如权利要求12所述的数据处理系统,所述堆叠式存储器位于与所述GPU相同的物理封装上。
14.如权利要求12所述的数据处理系统,所述混合精度核以16位精度执行所述第一操作并且以32位精度执行所述第二操作。
15.如权利要求14所述的数据处理系统,其中,所述第一操作具有两个或多于两个16位浮点输入。
16.如权利要求12所述的数据处理系统,所述混合精度核能够配置成从所述二维矩阵乘法和累加操作输出16位浮点值。
CN201910429570.5A 2017-04-28 2018-04-27 低精度机器学习操作的计算优化 Active CN110349075B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/581,167 US10726514B2 (en) 2017-04-28 2017-04-28 Compute optimizations for low precision machine learning operations
US15/581167 2017-04-28
CN201810392234.3A CN108805791A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201810392234.3A Division CN108805791A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化

Publications (2)

Publication Number Publication Date
CN110349075A CN110349075A (zh) 2019-10-18
CN110349075B true CN110349075B (zh) 2020-10-20

Family

ID=61965680

Family Applications (7)

Application Number Title Priority Date Filing Date
CN202211546793.8A Pending CN116414455A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN202010848468.1A Pending CN112330523A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN201810392234.3A Pending CN108805791A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN201910813309.5A Active CN110737470B (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN202210661460.3A Pending CN115082283A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN202110725327.5A Pending CN113496457A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN201910429570.5A Active CN110349075B (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化

Family Applications Before (6)

Application Number Title Priority Date Filing Date
CN202211546793.8A Pending CN116414455A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN202010848468.1A Pending CN112330523A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN201810392234.3A Pending CN108805791A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN201910813309.5A Active CN110737470B (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN202210661460.3A Pending CN115082283A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化
CN202110725327.5A Pending CN113496457A (zh) 2017-04-28 2018-04-27 低精度机器学习操作的计算优化

Country Status (8)

Country Link
US (9) US10726514B2 (zh)
EP (6) EP3594813B1 (zh)
CN (7) CN116414455A (zh)
DK (1) DK4099168T3 (zh)
ES (2) ES2865201T3 (zh)
FI (1) FI4099168T3 (zh)
PL (3) PL4099168T3 (zh)
TW (6) TWI819861B (zh)

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10142137B2 (en) 2017-03-02 2018-11-27 Micron Technology, Inc. Wireless devices and systems including examples of full duplex transmission
US10726514B2 (en) 2017-04-28 2020-07-28 Intel Corporation Compute optimizations for low precision machine learning operations
US11842280B2 (en) * 2017-05-05 2023-12-12 Nvidia Corporation Loss-scaling for deep neural network training with reduced precision
WO2018213636A1 (en) 2017-05-17 2018-11-22 Google Llc Performing matrix multiplication in hardware
US11941516B2 (en) 2017-08-31 2024-03-26 Micron Technology, Inc. Cooperative learning neural networks and systems
US11360934B1 (en) 2017-09-15 2022-06-14 Groq, Inc. Tensor streaming processor architecture
US11868804B1 (en) 2019-11-18 2024-01-09 Groq, Inc. Processor instruction dispatch configuration
US11243880B1 (en) 2017-09-15 2022-02-08 Groq, Inc. Processor architecture
US11114138B2 (en) 2017-09-15 2021-09-07 Groq, Inc. Data structures with multiple read ports
US11170307B1 (en) 2017-09-21 2021-11-09 Groq, Inc. Predictive model compiler for generating a statically scheduled binary with known resource constraints
US10902318B2 (en) 2017-11-06 2021-01-26 Neuralmagic Inc. Methods and systems for improved transforms in convolutional neural networks
KR20190052893A (ko) * 2017-11-09 2019-05-17 삼성전자주식회사 뉴럴 네트워크 연산을 위한 전처리 장치 및 방법
US20190156214A1 (en) 2017-11-18 2019-05-23 Neuralmagic Inc. Systems and methods for exchange of data in distributed training of machine learning algorithms
US11373088B2 (en) * 2017-12-30 2022-06-28 Intel Corporation Machine learning accelerator mechanism
US11206050B2 (en) 2018-02-06 2021-12-21 Micron Technology, Inc. Self interference noise cancellation to support multiple frequency bands
JP7056225B2 (ja) * 2018-02-26 2022-04-19 富士通株式会社 演算処理装置、情報処理装置、情報処理方法、およびプログラム
US11100041B2 (en) * 2018-03-09 2021-08-24 Microsoft Technology Licensing, Llc Techniques for tracking independent hardware graphics processing unit (GPU) performance
US11514306B1 (en) * 2018-03-14 2022-11-29 Meta Platforms, Inc. Static memory allocation in neural networks
US20190297326A1 (en) * 2018-03-21 2019-09-26 Nvidia Corporation Video prediction using spatially displaced convolution
US10678508B2 (en) * 2018-03-23 2020-06-09 Amazon Technologies, Inc. Accelerated quantized multiply-and-add operations
US11593633B2 (en) * 2018-04-13 2023-02-28 Microsoft Technology Licensing, Llc Systems, methods, and computer-readable media for improved real-time audio processing
KR102506959B1 (ko) 2018-05-17 2023-03-07 나이앤틱, 인크. 깊이 추정 시스템의 자가 감독 훈련
GB2574372B (en) * 2018-05-21 2021-08-11 Imagination Tech Ltd Implementing Traditional Computer Vision Algorithms As Neural Networks
US10832133B2 (en) 2018-05-31 2020-11-10 Neuralmagic Inc. System and method of executing neural networks
US11216732B2 (en) 2018-05-31 2022-01-04 Neuralmagic Inc. Systems and methods for generation of sparse code for convolutional neural networks
US11449363B2 (en) 2018-05-31 2022-09-20 Neuralmagic Inc. Systems and methods for improved neural network execution
US10963787B2 (en) * 2018-05-31 2021-03-30 Neuralmagic Inc. Systems and methods for generation of sparse code for convolutional neural networks
US10769310B2 (en) * 2018-07-20 2020-09-08 Nxp B.V. Method for making a machine learning model more difficult to copy
US20200034699A1 (en) * 2018-07-24 2020-01-30 SK Hynix Inc. Accelerating appratus of neural network and operating method thereof
US11468291B2 (en) 2018-09-28 2022-10-11 Nxp B.V. Method for protecting a machine learning ensemble from copying
US11636343B2 (en) 2018-10-01 2023-04-25 Neuralmagic Inc. Systems and methods for neural network pruning with accuracy preservation
US11366663B2 (en) 2018-11-09 2022-06-21 Intel Corporation Systems and methods for performing 16-bit floating-point vector dot product instructions
US11455370B2 (en) 2018-11-19 2022-09-27 Groq, Inc. Flattened input stream generation for convolution with expanded kernel
US12014273B2 (en) * 2018-12-12 2024-06-18 Kneron (Taiwan) Co., Ltd. Low precision and coarse-to-fine dynamic fixed-point quantization design in convolution neural network
US11544559B2 (en) 2019-01-08 2023-01-03 Neuralmagic Inc. System and method for executing convolution in a neural network
US10963219B2 (en) 2019-02-06 2021-03-30 International Business Machines Corporation Hybrid floating point representation for deep learning acceleration
US11562214B2 (en) * 2019-03-14 2023-01-24 Baidu Usa Llc Methods for improving AI engine MAC utilization
US11934342B2 (en) 2019-03-15 2024-03-19 Intel Corporation Assistance for hardware prefetch in cache access
KR20210135998A (ko) 2019-03-15 2021-11-16 인텔 코포레이션 매트릭스 가속기 아키텍처를 위한 희소 최적화
US11768664B2 (en) 2019-03-15 2023-09-26 Advanced Micro Devices, Inc. Processing unit with mixed precision operations
EP3938914A1 (en) 2019-03-15 2022-01-19 INTEL Corporation Dynamic memory reconfiguration
CN111709513B (zh) * 2019-03-18 2023-06-09 百度在线网络技术(北京)有限公司 长短期记忆网络lstm的训练系统、方法及电子设备
US11328222B1 (en) 2019-05-10 2022-05-10 Innovium, Inc. Network switch with integrated gradient aggregation for distributed machine learning
US10931602B1 (en) 2019-05-10 2021-02-23 Innovium, Inc. Egress-based compute architecture for network switches in distributed artificial intelligence and other applications
US10931588B1 (en) * 2019-05-10 2021-02-23 Innovium, Inc. Network switch with integrated compute subsystem for distributed artificial intelligence and other applications
US11099902B1 (en) 2019-05-10 2021-08-24 Innovium, Inc. Parallelized ingress compute architecture for network switches in distributed artificial intelligence and other applications
US11531898B2 (en) 2019-05-16 2022-12-20 International Business Machines Corporation Training of artificial neural networks
US11301167B2 (en) * 2019-05-16 2022-04-12 Intel Corporation Technologies for providing multiple tier memory media management
US11120602B2 (en) * 2019-06-03 2021-09-14 Microsoft Technology Licensing, Llc Acceleration of shader programs by compiler precision selection
US11693626B2 (en) * 2019-06-18 2023-07-04 Cirrus Logic, Inc. Variable accuracy computing system
TWI701612B (zh) * 2019-06-19 2020-08-11 創鑫智慧股份有限公司 用於神經網路中激勵函數的電路系統及其處理方法
US11507349B2 (en) * 2019-06-26 2022-11-22 Microsoft Technology Licensing, Llc Neural processing element with single instruction multiple data (SIMD) compute lanes
TWI702615B (zh) * 2019-07-26 2020-08-21 長佳智能股份有限公司 視網膜病變評估模型建立方法及系統
US10956776B2 (en) 2019-08-06 2021-03-23 Alibaba Group Holding Limited 2D convolutional accelerator that generates 3D results
WO2021026225A1 (en) 2019-08-08 2021-02-11 Neuralmagic Inc. System and method of accelerating execution of a neural network
CN112394997A (zh) * 2019-08-13 2021-02-23 上海寒武纪信息科技有限公司 八位整形转半精度浮点指令处理装置、方法及相关产品
JP7317630B2 (ja) * 2019-08-14 2023-07-31 キヤノン株式会社 画像処理装置、画像処理方法、及びプログラム
CN110598172B (zh) * 2019-08-22 2022-10-25 瑞芯微电子股份有限公司 一种基于csa加法器的卷积运算方法和电路
US11057318B1 (en) 2019-08-27 2021-07-06 Innovium, Inc. Distributed artificial intelligence extension modules for network switches
US10979097B2 (en) 2019-09-05 2021-04-13 Micron Technology, Inc. Wireless devices and systems including examples of full duplex transmission using neural networks or recurrent neural networks
EP3792752A1 (en) * 2019-09-11 2021-03-17 Nokia Solutions and Networks Oy Arithmetic unit
WO2021061625A1 (en) * 2019-09-23 2021-04-01 Lightmatter, Inc. Quantized inputs for machine learning models
CN110765111B (zh) * 2019-10-28 2023-03-31 深圳市商汤科技有限公司 存储和读取方法、装置、电子设备和存储介质
US11861761B2 (en) 2019-11-15 2024-01-02 Intel Corporation Graphics processing unit processing and caching improvements
US11307860B1 (en) 2019-11-22 2022-04-19 Blaize, Inc. Iterating group sum of multiple accumulate operations
US10996960B1 (en) * 2019-11-22 2021-05-04 Blaize, Inc. Iterating single instruction, multiple-data (SIMD) instructions
CN115136141A (zh) * 2019-11-26 2022-09-30 米西克有限公司 用于实现混合信号集成电路的受限计算的操作变换的系统和方法
WO2021108559A1 (en) 2019-11-26 2021-06-03 Groq, Inc. Loading operands and outputting results from a multi-dimensional array using only a single side
US11216184B2 (en) 2019-12-06 2022-01-04 Western Digital Technologies, Inc. Non-volatile memory with on-chip principal component analysis for generating low dimensional outputs for machine learning
US11188328B2 (en) 2019-12-12 2021-11-30 International Business Machines Corporation Compute array of a processor with mixed-precision numerical linear algebra support
EP4100887A4 (en) * 2020-03-05 2023-07-05 Huawei Cloud Computing Technologies Co., Ltd. METHOD AND SYSTEM FOR SHARING AND BITWIDTH ALLOCATION OF DEEP LEARNING MODELS FOR INFERENCE ON DISTRIBUTED SYSTEMS
US20210295134A1 (en) * 2020-03-18 2021-09-23 Infineon Technologies Ag Artificial neural network activation function
EP4128234A4 (en) * 2020-03-30 2024-06-26 Rambus Inc. STACKED CHIP NEURAL NETWORK WITH INTEGRATED HIGH-BANDWIDTH MEMORY
US11258473B2 (en) 2020-04-14 2022-02-22 Micron Technology, Inc. Self interference noise cancellation to support multiple frequency bands with neural networks or recurrent neural networks
TWI747258B (zh) * 2020-04-29 2021-11-21 宏達國際電子股份有限公司 依據音訊訊號產生動作的方法及電子裝置
US11321891B2 (en) 2020-04-29 2022-05-03 Htc Corporation Method for generating action according to audio signal and electronic device
CN113571087B (zh) * 2020-04-29 2023-07-28 宏达国际电子股份有限公司 依据音频信号产生动作的方法及电子装置
EP4200770A1 (en) 2020-08-18 2023-06-28 Grid.AI. Inc. Modularized model interaction system and method
US20220058774A1 (en) * 2020-08-19 2022-02-24 BlinkAI Technologies, Inc. Systems and Methods for Performing Image Enhancement using Neural Networks Implemented by Channel-Constrained Hardware Accelerators
US10970619B1 (en) * 2020-08-21 2021-04-06 Moffett Technologies Co., Limited Method and system for hierarchical weight-sparse convolution processing
US20220075669A1 (en) * 2020-09-08 2022-03-10 Technion Research And Development Foundation Ltd. Non-Blocking Simultaneous MultiThreading (NB-SMT)
US11175957B1 (en) 2020-09-22 2021-11-16 International Business Machines Corporation Hardware accelerator for executing a computation task
CN112241509B (zh) * 2020-09-29 2024-03-12 格兰菲智能科技有限公司 图形处理器及其加速方法
US11556757B1 (en) 2020-12-10 2023-01-17 Neuralmagic Ltd. System and method of executing deep tensor columns in neural networks
CN113190352B (zh) * 2021-05-12 2024-02-06 北京中科通量科技有限公司 一种面向通用cpu的深度学习计算加速方法及系统
KR20220161255A (ko) * 2021-05-13 2022-12-06 엔비디아 코포레이션 행렬 값 표시 수행
US11899589B2 (en) 2021-06-22 2024-02-13 Samsung Electronics Co., Ltd. Systems, methods, and devices for bias mode management in memory systems
US20210397999A1 (en) * 2021-06-25 2021-12-23 Intel Corporation Methods and apparatus to offload execution of a portion of a machine learning model
US20230052433A1 (en) * 2021-08-16 2023-02-16 Samsung Electronics Co., Ltd. Accelerator to reduce data dimensionality and associated systems and methods
US11960982B1 (en) 2021-10-21 2024-04-16 Neuralmagic, Inc. System and method of determining and executing deep tensor columns in neural networks
US20230132070A1 (en) * 2021-10-27 2023-04-27 International Business Machines Corporation Features for black-box machine-learning models
US11935175B2 (en) * 2022-04-07 2024-03-19 Huawei Technologies Co., Ltd. Apparatus, method, and computer-readable medium for image processing using variable-precision shading
TWI819645B (zh) * 2022-06-08 2023-10-21 緯創資通股份有限公司 更新神經網路模型的方法和電子裝置
CN116129249B (zh) * 2023-04-04 2023-07-07 上海燧原科技有限公司 一种图像处理方法、装置、电子设备和存储介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105378651A (zh) * 2013-05-24 2016-03-02 相干逻辑公司 具有可编程优化的存储器-网络处理器
CN105404889A (zh) * 2014-08-21 2016-03-16 英特尔公司 用于在图形处理单元(gpu)上实现最近邻搜索的方法和装置

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5953241A (en) * 1995-08-16 1999-09-14 Microunity Engeering Systems, Inc. Multiplier array processing system with enhanced utilization at lower precision for group multiply and sum instruction
US6643765B1 (en) * 1995-08-16 2003-11-04 Microunity Systems Engineering, Inc. Programmable processor with group floating point operations
JPH0991118A (ja) * 1995-09-27 1997-04-04 Hitachi Ltd 浮動小数点演算装置
EP1306752A1 (en) * 1996-11-29 2003-05-02 Matsushita Electric Industrial Co., Ltd. Processor which can favourably execute a rounding process
US6718457B2 (en) 1998-12-03 2004-04-06 Sun Microsystems, Inc. Multiple-thread processor for threaded software applications
US7418606B2 (en) 2003-09-18 2008-08-26 Nvidia Corporation High quality and high performance three-dimensional graphics architecture for portable handheld devices
US7873812B1 (en) 2004-04-05 2011-01-18 Tibet MIMAR Method and system for efficient matrix multiplication in a SIMD processor architecture
US20060101244A1 (en) * 2004-11-10 2006-05-11 Nvidia Corporation Multipurpose functional unit with combined integer and floating-point multiply-add pipeline
US7720900B2 (en) 2005-09-09 2010-05-18 International Business Machines Corporation Fused multiply add split for multiple precision arithmetic
US8051123B1 (en) 2006-12-15 2011-11-01 Nvidia Corporation Multipurpose functional unit with double-precision and filtering operations
CN101657795B (zh) * 2007-04-11 2013-10-23 苹果公司 多处理器上的数据并行计算
US8106914B2 (en) * 2007-12-07 2012-01-31 Nvidia Corporation Fused multiply-add functional unit
US8150902B2 (en) * 2009-06-19 2012-04-03 Singular Computing Llc Processing with compact arithmetic processing element
US8615541B2 (en) * 2009-09-23 2013-12-24 Nvidia Corporation Extended-precision integer arithmetic and logical instructions
US8522000B2 (en) * 2009-09-29 2013-08-27 Nvidia Corporation Trap handler architecture for a parallel processing unit
US8713294B2 (en) * 2009-11-13 2014-04-29 International Business Machines Corporation Heap/stack guard pages using a wakeup unit
US8464026B2 (en) * 2010-02-17 2013-06-11 International Business Machines Corporation Method and apparatus for computing massive spatio-temporal correlations using a hybrid CPU-GPU approach
US20110283059A1 (en) 2010-05-11 2011-11-17 Progeniq Pte Ltd Techniques for accelerating computations using field programmable gate array processors
US9600281B2 (en) * 2010-07-12 2017-03-21 International Business Machines Corporation Matrix multiplication operations using pair-wise load and splat operations
US8582373B2 (en) * 2010-08-31 2013-11-12 Micron Technology, Inc. Buffer die in stacks of memory dies and methods
US8493089B2 (en) * 2011-04-06 2013-07-23 International Business Machines Corporation Programmable logic circuit using three-dimensional stacking techniques
FR2974645A1 (fr) 2011-04-28 2012-11-02 Kalray Operateur de multiplication et addition fusionnees a precision mixte
US9529712B2 (en) * 2011-07-26 2016-12-27 Nvidia Corporation Techniques for balancing accesses to memory having different memory types
CN102750663A (zh) * 2011-08-26 2012-10-24 新奥特(北京)视频技术有限公司 一种基于gpu的地理信息数据处理的方法、设备和系统
US8630375B2 (en) 2011-08-29 2014-01-14 Telefonaktiebolaget Lm Ericsson (Publ) Method and apparatus for received signal processing in a multi-stage receiver
CN103959233B (zh) * 2011-09-15 2017-05-17 埃克森美孚上游研究公司 在执行eos计算的指令受限算法中最优化矩阵和向量运算
US9830158B2 (en) * 2011-11-04 2017-11-28 Nvidia Corporation Speculative execution and rollback
CN104115115B (zh) * 2011-12-19 2017-06-13 英特尔公司 用于多精度算术的simd整数乘法累加指令
WO2013095554A1 (en) * 2011-12-22 2013-06-27 Intel Corporation Processors, methods, systems, and instructions to generate sequences of consecutive integers in numerical order
WO2013095508A1 (en) 2011-12-22 2013-06-27 Intel Corporation Speculative cache modification
WO2013095601A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Instruction for element offset calculation in a multi-dimensional array
US20140195783A1 (en) * 2011-12-29 2014-07-10 Krishnan Karthikeyan Dot product processors, methods, systems, and instructions
US8984042B2 (en) * 2012-02-09 2015-03-17 International Business Machines Corporation Mixed precision estimate instruction computing narrow precision result for wide precision inputs
US9693714B2 (en) 2012-02-10 2017-07-04 Senseonics, Incorporated Digital ASIC sensor platform
US10007527B2 (en) 2012-03-05 2018-06-26 Nvidia Corporation Uniform load processing for parallel thread sub-sets
CN102750127B (zh) * 2012-06-12 2015-06-24 清华大学 一种协处理器
US9257364B2 (en) * 2012-06-27 2016-02-09 Intel Corporation Integrated heat spreader that maximizes heat transfer from a multi-chip package
US8922243B2 (en) * 2012-12-23 2014-12-30 Advanced Micro Devices, Inc. Die-stacked memory device with reconfigurable logic
US9047171B2 (en) * 2012-09-29 2015-06-02 Intel Corporation Differentiating cache reliability to reduce minimum on-die voltage
US9189399B2 (en) 2012-11-21 2015-11-17 Advanced Micro Devices, Inc. Stack cache management and coherence techniques
US9292414B2 (en) * 2012-11-26 2016-03-22 Nvidia Corporation System, method, and computer program product for debugging graphics programs locally utilizing a system with a single GPU
US9111393B2 (en) * 2012-11-26 2015-08-18 Nvidia Corporation System, method, and computer program product for sampling a hierarchical depth map
US20140173606A1 (en) * 2012-12-19 2014-06-19 Nvidia Corporation Streaming processing of short read alignment algorithms
US9135185B2 (en) * 2012-12-23 2015-09-15 Advanced Micro Devices, Inc. Die-stacked memory device providing data translation
US9478066B2 (en) * 2013-03-14 2016-10-25 Nvidia Corporation Consistent vertex snapping for variable resolution rendering
US20140281366A1 (en) 2013-03-15 2014-09-18 Cognitive Electronics, Inc. Address translation in a system using memory striping
US9535778B2 (en) * 2013-03-15 2017-01-03 International Business Machines Corporation Reestablishing synchronization in a memory system
US9430418B2 (en) * 2013-03-15 2016-08-30 International Business Machines Corporation Synchronization and order detection in a memory system
US9136987B2 (en) 2013-03-15 2015-09-15 International Business Machines Corporation Replay suspension in a memory system
US9305388B2 (en) * 2013-08-23 2016-04-05 Nvidia Corporation Bit-count texture format
US9633409B2 (en) 2013-08-26 2017-04-25 Apple Inc. GPU predication
US9978014B2 (en) * 2013-12-18 2018-05-22 Intel Corporation Reconfigurable processing unit
GB2523341A (en) * 2014-02-20 2015-08-26 Ibm Iterative refinement apparatus
CN103984522B (zh) * 2014-05-27 2018-04-13 中国人民解放军国防科学技术大学 Gpdsp中定点和浮点混合除法的实现方法
US9898795B2 (en) * 2014-06-19 2018-02-20 Vmware, Inc. Host-based heterogeneous multi-GPU assignment
KR102192956B1 (ko) * 2014-06-23 2020-12-18 삼성전자주식회사 디스플레이 장치 및 그 제어 방법
US10061592B2 (en) 2014-06-27 2018-08-28 Samsung Electronics Co., Ltd. Architecture and execution for efficient mixed precision computations in single instruction multiple data/thread (SIMD/T) devices
US20160026912A1 (en) * 2014-07-22 2016-01-28 Intel Corporation Weight-shifting mechanism for convolutional neural networks
JP6012674B2 (ja) 2014-07-25 2016-10-25 京セラドキュメントソリューションズ株式会社 画像形成装置
US9454497B2 (en) 2014-08-15 2016-09-27 Intel Corporation Technologies for secure inter-virtual-machine shared memory communication
US9645792B2 (en) 2014-08-18 2017-05-09 Qualcomm Incorporated Emulation of fused multiply-add operations
CA2955444C (en) * 2014-08-20 2019-05-28 Landmark Graphics Corporation Optimizing computer hardware resource utilization when processing variable precision data
US10223333B2 (en) 2014-08-29 2019-03-05 Nvidia Corporation Performing multi-convolution operations in a parallel processing system
US10235338B2 (en) * 2014-09-04 2019-03-19 Nvidia Corporation Short stack traversal of tree data structures
US9582201B2 (en) * 2014-09-26 2017-02-28 Western Digital Technologies, Inc. Multi-tier scheme for logical storage management
US9916130B2 (en) * 2014-11-03 2018-03-13 Arm Limited Apparatus and method for vector processing
US10636336B2 (en) * 2015-04-17 2020-04-28 Nvidia Corporation Mixed primary display with spatially modulated backlight
US9846623B2 (en) * 2015-08-20 2017-12-19 Qsigma, Inc. Simultaneous multi-processor apparatus applicable to acheiving exascale performance for algorithms and program systems
US20170083827A1 (en) * 2015-09-23 2017-03-23 Qualcomm Incorporated Data-Driven Accelerator For Machine Learning And Raw Data Analysis
US10423411B2 (en) * 2015-09-26 2019-09-24 Intel Corporation Data element comparison processors, methods, systems, and instructions
US10776690B2 (en) * 2015-10-08 2020-09-15 Via Alliance Semiconductor Co., Ltd. Neural network unit with plurality of selectable output functions
US10275394B2 (en) * 2015-10-08 2019-04-30 Via Alliance Semiconductor Co., Ltd. Processor with architectural neural network execution unit
CN106570559A (zh) * 2015-10-09 2017-04-19 阿里巴巴集团控股有限公司 一种基于神经网络的数据处理方法和装置
US9703531B2 (en) * 2015-11-12 2017-07-11 Arm Limited Multiplication of first and second operands using redundant representation
US10318008B2 (en) * 2015-12-15 2019-06-11 Purdue Research Foundation Method and system for hand pose detection
US9715373B2 (en) * 2015-12-18 2017-07-25 International Business Machines Corporation Dynamic recompilation techniques for machine learning programs
US20170214930A1 (en) * 2016-01-26 2017-07-27 Sandia Corporation Gpu-assisted lossless data compression
US11676024B2 (en) * 2016-02-24 2023-06-13 Sri International Low precision neural networks using subband decomposition
US9831148B2 (en) * 2016-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package including voltage regulators and methods forming same
CN109661672B (zh) 2016-05-04 2023-08-22 渊慧科技有限公司 使用强化学习利用外部存储器增强神经网络
CN107526709A (zh) 2016-06-15 2017-12-29 辉达公司 使用低精度格式的张量处理
US10083347B2 (en) 2016-07-29 2018-09-25 NTech lab LLC Face identification using artificial neural network
US10891538B2 (en) 2016-08-11 2021-01-12 Nvidia Corporation Sparse convolutional neural network accelerator
US10997496B2 (en) 2016-08-11 2021-05-04 Nvidia Corporation Sparse convolutional neural network accelerator
US10114613B2 (en) * 2016-09-07 2018-10-30 International Business Machines Corporation Mixed-precision memcomputing system
US10141938B2 (en) * 2016-09-21 2018-11-27 Xilinx, Inc. Stacked columnar integrated circuits
US10296292B2 (en) * 2016-10-20 2019-05-21 Advanced Micro Devices, Inc. Dynamic variable precision computation
US10282309B2 (en) 2017-02-24 2019-05-07 Advanced Micro Devices, Inc. Per-page control of physical address space distribution among memory modules
US10417140B2 (en) 2017-02-24 2019-09-17 Advanced Micro Devices, Inc. Streaming translation lookaside buffer
US10595039B2 (en) 2017-03-31 2020-03-17 Nvidia Corporation System and method for content and motion controlled action video generation
US10424069B2 (en) 2017-04-07 2019-09-24 Nvidia Corporation System and method for optical flow estimation
US10726514B2 (en) 2017-04-28 2020-07-28 Intel Corporation Compute optimizations for low precision machine learning operations
US10643297B2 (en) * 2017-05-05 2020-05-05 Intel Corporation Dynamic precision management for integer deep learning primitives
US10339067B2 (en) * 2017-06-19 2019-07-02 Advanced Micro Devices, Inc. Mechanism for reducing page migration overhead in memory systems

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105378651A (zh) * 2013-05-24 2016-03-02 相干逻辑公司 具有可编程优化的存储器-网络处理器
CN105404889A (zh) * 2014-08-21 2016-03-16 英特尔公司 用于在图形处理单元(gpu)上实现最近邻搜索的方法和装置

Also Published As

Publication number Publication date
US20230061670A1 (en) 2023-03-02
TW202403659A (zh) 2024-01-16
EP3594813A1 (en) 2020-01-15
FI4099168T3 (fi) 2024-02-06
CN108805791A (zh) 2018-11-13
TW201935408A (zh) 2019-09-01
US11468541B2 (en) 2022-10-11
US10853906B2 (en) 2020-12-01
ES2865201T3 (es) 2021-10-15
US20190304053A1 (en) 2019-10-03
US10726514B2 (en) 2020-07-28
PL3594813T3 (pl) 2021-06-28
US20230061331A1 (en) 2023-03-02
US20190206020A1 (en) 2019-07-04
EP4099168A1 (en) 2022-12-07
TW202305736A (zh) 2023-02-01
EP4099168B1 (en) 2023-11-22
EP4160413A1 (en) 2023-04-05
PL3396547T3 (pl) 2022-11-14
CN116414455A (zh) 2023-07-11
US20180315157A1 (en) 2018-11-01
CN115082283A (zh) 2022-09-20
CN110737470A (zh) 2020-01-31
ES2926704T3 (es) 2022-10-27
TW202238509A (zh) 2022-10-01
US20220245753A1 (en) 2022-08-04
CN110737470B (zh) 2023-06-16
EP3396547A3 (en) 2018-11-28
TWI787692B (zh) 2022-12-21
CN110349075A (zh) 2019-10-18
TWI682357B (zh) 2020-01-11
US11138686B2 (en) 2021-10-05
DK4099168T3 (da) 2024-02-12
EP4141674A1 (en) 2023-03-01
TW201842478A (zh) 2018-12-01
EP3594813B1 (en) 2021-01-13
TW202123166A (zh) 2021-06-16
US20230401668A1 (en) 2023-12-14
TWI803357B (zh) 2023-05-21
EP3396547B1 (en) 2022-07-27
US11948224B2 (en) 2024-04-02
CN112330523A (zh) 2021-02-05
EP3396547A2 (en) 2018-10-31
CN113496457A (zh) 2021-10-12
TWI781142B (zh) 2022-10-21
TWI819861B (zh) 2023-10-21
US10242423B2 (en) 2019-03-26
PL4099168T3 (pl) 2024-04-08
US11308574B2 (en) 2022-04-19
US20200364823A1 (en) 2020-11-19
EP3792761A1 (en) 2021-03-17
US20180315159A1 (en) 2018-11-01

Similar Documents

Publication Publication Date Title
CN110349075B (zh) 低精度机器学习操作的计算优化
CN111666066B (zh) 加速机器学习操作的方法、图形处理单元和数据处理系统
CN113454587A (zh) 具有用于混合浮点格式的点积累加指令的图形处理器和图形处理单元
CN115658147A (zh) 用于高效卷积的专用固定功能硬件
CN110543332A (zh) 使用低精度和高精度的混合推理
CN111694601A (zh) 使用细粒度依赖性检查加速同步并基于可用共享存储器空间调度优化的图形系统和方法
CN114693850A (zh) 用于图像和视频处理的条件核预测网络和自适应深度预测
CN114677258A (zh) 用于视觉渲染和合成的空间稀疏卷积模块的方法和设备
CN115525420A (zh) 用于线程的多个寄存器分配尺寸
CN113672197B (zh) 用来执行用于机器学习的浮点和整数操作的指令和逻辑
CN115934025A (zh) 浮点计算的仿真
CN114677259A (zh) 用于神经网络中的动态归一化和接力的方法和装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant