CN115803472A - 使用硅烷作为抑制剂的金属氧化物的选择性沉积 - Google Patents

使用硅烷作为抑制剂的金属氧化物的选择性沉积 Download PDF

Info

Publication number
CN115803472A
CN115803472A CN202180047011.3A CN202180047011A CN115803472A CN 115803472 A CN115803472 A CN 115803472A CN 202180047011 A CN202180047011 A CN 202180047011A CN 115803472 A CN115803472 A CN 115803472A
Authority
CN
China
Prior art keywords
optionally substituted
silicon
region
layer
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180047011.3A
Other languages
English (en)
Inventor
卡希什·沙玛
保罗·C·莱曼利
丹尼斯·M·豪斯曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115803472A publication Critical patent/CN115803472A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)

Abstract

本公开内容涉及在表面上进行选择性沉积的方法和装置。具体而言,可使用含硅抑制剂以选择性地结合至第一区域,因而抑制在该第一区域上的材料的沉积。

Description

使用硅烷作为抑制剂的金属氧化物的选择性沉积
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。本申请要求于2020年9月25日申请的美国专利申请No.63/198,060的优先权,其全部公开内容都通过引用合并于此。
技术领域
本公开内容关于在表面上选择性沉积的方法及装置。具体而言,可使用含硅抑制剂以选择性地结合至第一区域,因而抑制在第一区域上的材料沉积。
背景技术
随着技术朝着越来越小的节点发展,使用极紫外线(EUV)光刻进行小结构的图案化变得越来越困难,且在后段制程(BEOL)中使金属通孔落在金属在线变得具有挑战性。此导致边缘放置误差(特征边缘上的预期位置与实际位置之间的距离)和虎齿(tiger tooth)缺陷,其增加RC延迟及影响组件性能。半导体组件的尺寸缩小会受限于边缘放置误差的结果。
这里提供的背景描述是为了总体呈现本技术的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本技术的现有技术。
发明内容
本公开内容涉及使用含硅抑制剂,以选择性地抑制半导体表面上的第一区域并且选择性地将材料沉积在非受抑制的第二区域上。以此方式,可实施选择性抑制及沉积,以提供受控的电连接和/或图案化的介电层。
在特定实施方案中,含硅抑制剂可用于优先在某些表面(例如,具有金属或半导体的表面)上形成金属硅化物键,从而形成受抑制的表面。接着,可选择性地进一步处理或加工其余的非受抑制的表面。例如,虽然可提供材料至整个表面,但该材料的沉积会发生在暴露的、非受抑制的表面上。
在一实施方案中,为了提高RC性能,金属表面利用含硅抑制剂进行抑制,且沉积发生在非受抑制的非金属表面(例如,电介质表面)上。具体而言,沉积可包括引入选择性的金属氧化物薄膜,其可沉积在层间电介质(ILD)上以增加金属通孔与金属线之间的距离并且减少接触。通过在电介质表面上的选择性电介质沉积所产生的额外表面形态,可在所制造的通孔与相邻金属线之间产生额外的间距,其可提高组件性能并减少RC延迟。
为了进行选择性沉积,在一非限制性实例中,金属表面被硅烷所抑制,硅烷充当抑制剂以阻止在金属线上沉积。薄抑制剂层(例如,作为单层或多层)被热沉积在衬底的金属区域上,但不沉积在金属区域附近的非金属区域上。该非金属区域可包括半导体或电介质。接着,将材料沉积在衬底(或晶片)上。由于抑制剂层的存在,材料主要沉积在衬底的非金属区域上。材料的沉积可发生在与用于提供抑制剂层的处理室相同或不同的处理室中。可选地,在材料沉积之后,可以任何有用的方式(例如,通过等离子体处理、或湿式或干式蚀刻工艺形式的其它清洁步骤)而移除抑制剂层。类似地,硅烷可用于,相较于电介质的表面,抑制半导体材料的表面,而不是金属表面。
因此,在第一方面中,本公开内容包括一种选择性沉积的方法,其包括:提供半导体衬底的表面,该半导体衬底包括第一区域和第二区域;引入含硅抑制剂至该表面,以在该第一区域上提供抑制剂层;以及在包括该第二区域的非受抑制的表面的至少一部分上沉积第一层。
在一些实施方案中,该第一区域包括金属或半导体,该第二区域包括与该第一区域不同的材料。非限制性金属包括铜(Cu)、钴(Co)、钨(W)、钌(Ru)、钽(Ta)、钛(Ti)、铪(Hf)、锆(Zr)和/或钼(Mo)、以及其混合物、其掺杂形式和/或其合金。非限制性半导体包括硅(Si)、锗(Ge)、硅锗(SiGe)、掺杂Si或掺杂Ge。
在一些实施方案中,该第一区域包括金属(例如,本文中所述的任何一者),该第二区域包括电介质或半导体。在特定实施方案中,该第二区域包括硅(Si)、二氧化硅(SiO2)、掺杂SiO2、硅氮化物、硅碳化物、硅碳氧化物、硅氮氧化物、硅碳氮化物、低κ电介质、锗、硅锗或锗硅。
在一些实施方案中,该第一区域包括半导体(例如,本文中所述的任何一者),该第二区域包括电介质。在特定实施方案中,该第二区域包括二氧化硅(SiO2)、掺杂SiO2、硅氮化物、硅碳化物、硅碳氧化物、硅氮氧化物、硅碳氮化物或低κ电介质。
在一些实施方案中,该引入包括约5秒至600秒的配料时间。在其它实施方案中,该引入包括约50℃至100℃的温度和/或约5Torr至10Torr的压强。在特定实施方案中,该引入是在第一温度下进行,该第一温度低于在该沉积期间的第二温度。
在一些实施方案中,该沉积包括原子层沉积(ALD)或化学气相沉积(CVD),包括其等离子体增强形式。
在一些实施方案中,该含硅抑制剂包括头基团和尾基团,该头基团包括至少一个Si-H基团,该尾基团包括有机部分。在特定实施方案中,该头基团包括-SiH3
在一些实施方案中,该第一层包括氧化物、金属氧化物、氮化物、金属氮化物、碳化物、金属碳化物或氮氧化物。在其它实施方案中,该第一层包括铝氧化物、锌氧化物、锆氧化物、铪氧化物、硅氧化物、硅氮氧化物、硅氮化物或钛氧化物。
在一些实施方案中,该方法还包括(例如,在该引入之前):利用等离子体以进行该表面的预处理。在其它实施方案中,该方法还包括(例如,在该沉积之前):在从约250℃至350℃的温度下使该抑制剂层进行退火。
在一些实施方案中,该方法还包括(例如,在该沉积之后):进一步在该非受抑制的表面或该第一层的表面的至少一部分上沉积第二层。在其它实施方案中,该方法还包括(例如,在该沉积之后或在该进一步沉积之后):进行该表面的后处理,以移除该抑制剂层。在还有的其它实施方案中,该方法还包括(例如,在该后处理之后):提供经图案化的通孔,该经图案化的通孔包括金属,其中该经图案化的通孔被配置成电连接至该第一区域。
在第二方面中,本公开内容包括一种选择性沉积的装置。在一实施方案中,该装置包括:处理室;衬底保持器,其在所述处理室中;一个或更多气体入口,其用于使气体流入所述处理室中;真空源,其用于从所述处理室移除气体;等离子体产生器,其用于在所述处理室内产生等离子体;以及一个或更多控制器,其包括机器可读指令,所述机器可读指令用于操作所述一个或更多气体入口、所述真空源及所述等离子体产生器以沉积至半导体衬底上。
在一实施方案中,所述一个或更多控制器的所述机器可读指令包括指令以用于:
(a)任选地致使操作所述等离子体产生器以提供等离子体,从而对所述半导体衬底的表面进行预处理;
(b)致使操作所述一个或更多气体入口,以使含硅抑制剂流入所述处理室中并且在保持在所述衬底保持器中的半导体衬底的第一区域上提供抑制剂层,其中所述第一区域包括金属或半导体,其中所述半导体衬底还包括第二区域,所述第二区域包括与所述第一区域不同的材料,以及其中所述含硅抑制剂包括头基团和尾基团,所述头基团包括至少一个Si-H基团,所述尾基团包括有机部分;
(c)致使操作所述真空源,以从所述处理室移除所述含硅抑制剂的至少一部分;
(d)任选地致使操作所述衬底保持器,以在从约250℃至350℃的温度下使所述抑制剂层进行退火;以及
(e)致使操作所述一个或更多气体入口以使第一前体流动,以在包括所述第二区域的非受抑制的表面的至少一部分上沉积第一层;
(f)任选地致使操作所述一个或更多气体入口以使第二前体流动,以在所述非受抑制的表面或所述第一层的表面的至少一部分上进一步沉积第二层;以及
(g)在(e)或(f)之后,任选地致使操作所述等离子体产生器以提供等离子体,以对所述半导体衬底的表面进行后处理,以移除所述抑制剂层。
在第三方面中,本公开内容包括一种选择性沉积的装置。在一实施方案中,该装置包括:第一处理室,其具有第一衬底保持器;第二处理室,其具有第二衬底保持器;传送模块,其被配置称将半导体衬底从所述第一处理室传送至所述第二处理室;一个或更多气体入口,其用于使气体流入所述第一处理室和所述第二处理室中;真空源,其用于从所述第一处理室和/或所述第二处理室移除气体;等离子体产生器,其用于在所述第一处理室和/或所述第二处理室内产生等离子体;以及一个或更多控制器,其包括机器可读指令,所述机器可读指令用于操作所述一个或更多气体入口、所述真空源及所述等离子体产生器以沉积至所述半导体衬底上。
在一实施方案中,所述一个或更多控制器的所述机器可读指令包括指令以用于:
(a)任选地致使操作所述等离子体产生器以提供等离子体至所述第一处理室,从而对所述半导体衬底的表面进行预处理;
(b)致使操作所述一个或更多气体入口,以使含硅抑制剂流入所述第一处理室中并且在保持在所述衬底保持器中的半导体衬底的第一区域上提供抑制剂层,其中所述第一区域包括金属或半导体,其中所述半导体衬底还包括第二区域,所述第二区域包括与所述第一区域不同的材料,以及其中所述含硅抑制剂包括头基团和尾基团,所述头基团包括至少一个Si-H基团,所述尾基团包括有机部分;
(c)致使操作所述真空源,以从所述第一处理室移除所述含硅抑制剂的至少一部分;
(d)任选地致使操作所述第一衬底保持器,以在从约250℃至350℃的温度下使所述抑制剂层进行退火;
(e)致使操作所述传送模块,以将所述半导体衬底从所述第一处理室传送至所述第二处理室;
(f)任选地致使操作所述第二衬底保持器,以在从约250℃至350℃的温度下使所述抑制剂层进行退火;
(g)致使操作所述一个或更多气体入口以使第一前体流动至所述第二处理室,以在包括所述第二区域的非受抑制的表面的至少一部分上沉积第一层;
(h)任选地致使操作所述一个或更多气体入口以使第二前体流动至所述第二处理室,以在所述非受抑制的表面或所述第一层的表面的至少一部分上进一步沉积第二层;以及
(i)在(g)或(h)之后,任选地致使操作所述等离子体产生器以提供等离子体至所述第二处理室,以对所述半导体衬底的表面进行后处理,以移除所述抑制剂层。
在本文的任何实施方案中,该抑制剂层包括自组装单层或自组装多层。
在本文的任何实施方案中,该含硅抑制剂包括RSiHX1X2;R是有机部分;以和X1和X2每一者独立地选自于由下列项所构成的群组:氢(H)、卤素、任选经取代的脂肪族、任选经取代的环脂肪族或任选经取代的芳香族。在一些实施方案中,X1和X2每一者独立地为任选经取代的烷基、任选经取代的烯基、任选经取代的炔基、任选经取代的环烷基或任选经取代的芳基。在其他实施方案中,
在本文的任何实施方案中,该有机部分是或包括任选经取代的脂肪族、任选经取代的杂脂肪族、任选经取代的环脂肪族、任选经取代的杂环脂肪族、或任选经取代的芳香族。在一些实施方案中,该有机部分是或包括任选经取代的烷基、任选经取代的烯基、任选经取代的炔基、任选经取代的杂烷基、任选经取代的杂烯基、任选经取代的杂炔基、任选经取代的环烷基、任选经取代的环杂烷基、任选经取代的芳基、或任选经取代的杂环基。在其它实施方案中,该有机部分是或包括支链烃和/或包括一或更多卤素取代基。在还有的其它实施方案中,该有机部分是或包括-X-L-Z,其中X、L和Z是本文中所述的任何一者。在一些实施方案中,该有机部分包括6至20个碳原子在直链、支链或环状基团内。其它的实施方案被描述于本文中。
附图说明
图1提供了选择性沉积的非限制性操作的示意图。
图2提供了根据各种实施方案的工艺流程图,其显示了选择性沉积的方法的相关操作。
图3提供了非限制性堆叠件的示意图。
图4A-4C显示了铝氧化物420的选择性沉积的横截面穿透式电子显微镜(XTEM)影像,铝氧化物420在非金属材料410的表面上具有厚度t。
图5显示了适合用于实行各种实施方案的原子层沉积(ALD)或化学气相沉积(CVD)室的示意图。
图6显示了适合用于实行各种实施方案的另一ALD或CVD室的另一示意图。
图7显示适合用于实行各种实施方案的模块丛集的示意图。
具体实施方式
本公开内容涉及含硅抑制剂的使用,以选择性地抑制半导体衬底上的特定区域。在一实施方案中,衬底可包括第一区域(例如,包括金属或半导体)和第二区域(例如,包括与第一区域不同的材料)。例如,当第一区域包括金属时,第二区域可包括半导体或电介质。在另一示例中,当第一区域包括半导体时,第二区域可包括电介质。
本文中的工艺可提供抑制剂层,以选择性地沉积在第一区域上,从而提供受抑制的表面。以此方式,受抑制的表面被阻挡而免受随后的沉积步骤,其可提供沉积层在第二区域的非受抑制的表面上。正如第一区域被选择性地抑制一样,第二区域被选择性地沉积一层(例如,氧化物层、氮化物层、碳化物层或本文中所述的其它层)。随后的步骤可包括打开第一区域(例如,通过去除抑制剂层)、图案化衬底以仅提供对第一区域的访问、以及沉积金属在图案内以提供电连接至第一区域的经图案化的通孔。如果第一区域包括金属线,则经图案化的通孔可提供与该线的电接触。
不希望受限于机制,用于选择性沉积工艺的含硅抑制剂(或硅烷)具有优于其它目的方法的某些优势,因为含硅抑制剂层不会损坏其沉积于上的表面。在易于沉积及去除以及相关的低成本方面,硅烷提供了额外的优势。这些因素可能是有利的,因为损坏金属可能增加金属线或通孔的电阻。这可能会降低电子设备的切换速度。此外,在足够高的温度下,硅烷可与金属或类金属表面进行反应而形成金属硅化物键。与通过范德华力或其它弱力而附接的抑制剂相比,这样的键可提供较稳定的层。此稳定性可提供在沉积期间抗脱附、减少材料在受抑制的表面上的成核、以及提高选择性的抑制剂层。
在其它非限制性实施方案中,本文中的选择性沉积工艺可减少RC延迟并改善设备性能。在进一步的实施方案中,本文中的工艺可减少EUV光刻步骤,以及节省时间及可能的成本。
图1示意性地显示出用于选择性沉积的非限制性工艺100。可看出,衬底110包括第一区域111和第二区域112,第二区域112不同于第一区域111且靠近第一区域111。在一实施方案中,第一区域111包括导电材料(例如,金属),第二区域112包括半导电材料或非导电材料(例如,半导体或电介质)。在另一实施方案中,第一区域111包括半导电材料,而第二区域112包括非导电材料。
非限制性导电材料可包括金属,例如过渡金属,包括钴(Co)、铜(Cu)、钨(W)、钌(Ru)、钽(Ta)、钛(Ti)、铪(Hf)、锆(Zr)和/或钼(Mo)及其组合及其掺杂形式。非限制性半导电材料包括硅(Si)、锗(Ge)、硅锗(SiGe)、锗硅(GeSi)、掺杂Si、掺杂Ge、以及(在一些情况下)硅碳化物。非限制性非导电材料可包括非金属或电介质,例如低κ电介质。低κ电介质的示例包括相对介电常数(κ)小于约4.0或小于约3.0、以及从约2.0至4.0、2.0至2.0、2.5至4.0或2.5至3.0的电介质。低κ电介质包括二氧化硅(SiO2)(包括掺杂SiO2(例如,掺杂碳的氧化物(CDO)或掺杂碳的SiO2)、多孔SiO2、多孔掺杂SiO2(例如多孔CDO))、硅氮化物、硅碳化物(在某些情况下)、硅碳氧化物、硅氮氧化物、硅碳氮化物等。
再回到图1,工艺100可包括引入101含硅抑制剂、沉积102材料、以及可选地去除103抑制剂层。接着讨论这些操作中的每一者。在操作101中,将含硅抑制剂引入至衬底110的表面。由于抑制剂分子对第一区域111的亲和力,选择性地提供抑制剂层120在第一区域111上。大多数的第二区域112没有含硅抑制剂,因此提供非受抑制的表面。
抑制剂层120的特征可在于其位置,例如,设置为邻近第一区域(相较于第二区域)。抑制剂层的特征也可在于含硅抑制剂的方位。如图1所示,含硅抑制剂的特征在于头基团(圆圈)及尾基团(线),其中头基团靠近第一区域111的表面。不是每一抑制剂分子都需要以此方式而朝向,且抑制剂层可包括有序层(具有精确的头基团朝向第一区域的表面)、或无序层(具有一些但不是全部的头基团朝向相同方向)。
此外,抑制剂层可为单层(单一分子层,包括有序或无序单层)或多层(多于一个分子层,包括有序或无序双层或其它多层)。在多层中,每一层以任何有用的方式而定向,例如第一层的头基团主要朝向第一区域的表面,且第二层设置在第一层上,其尾基团朝向第一层的尾基团。这样的多层可具有层及含硅抑制剂的任何有用的配置,其可设想以在自组装过程中使能量交互作用最小化。
抑制剂层的性质可以下列项表示:其质量变化,此可表示完整的或裂解的抑制剂分子的数量;其水接触角(WCA),此可表示一或更多层的密度或堆积;和/或其使用FTIR的C-H弯曲模或拉张模,此可表示一或更多层的密度或堆积。在一实施方案中,抑制剂层的特征在于大于约100℃或从约100℃至120℃的WCA。
可利用有用的工艺条件以将含硅抑制剂(例如,本文中所述的任何者)引入至表面。在一实施方案中,以约5秒至600秒的配料时间、和/或在约50℃至400℃或50℃至100℃的温度下、和/或在约5托(Torr)至10Torr的压强下提供含硅抑制剂至室。在特定实施方案中,含硅抑制剂与惰性载气(例如,氮(N2)气)一起提供。含硅抑制剂于50-100℃之间被加热。载气为N2,且工艺压强范围为5-10Torr。输送抑制剂至室可为连续的或脉冲的。本文中描述了额外的工艺条件。
如图1所示,操作102包括在第二区域112上沉积材料130。在一实施方案中,提供材料130作为层。当使用在本文中时,选择性沉积可意味着在第一区域上选择性沉积含硅抑制剂、和/或在第二区域上选择性沉积材料。因为抑制剂层120阻止沉积,所以材料130被选择性地沉积在第二区域112上。
可使用基于气相的沉积工艺(例如ALD、CVD、及其等离子体增强形式)来沉积材料。非限制性材料包括非导电材料,例如绝缘体,以及氧化物、金属氧化物、氮化物、金属氮化物、碳化物、金属碳化物、或氮氧化物。材料的示例包括铝氧化物、锌氧化物、锆氧化物、铪氧化物、硅氧化物、硅氮氧化物、硅氮化物、或钛氧化物。可使用多个循环(例如,10、100、200或更多循环)来沉积材料层。其它条件可包括约5秒至600秒的沉积时间、和/或在约25℃至500℃的温度下、和/或在约10mTorr至10Torr的压强下。
再次回到图1,操作103是可选的并且可包括从第一区域111去除抑制剂层。以此方式,可进一步处理衬底,以提供物理或电气连接至第一区域的连接件。例如,如果第一区域111是金属线,则去除抑制剂层120可允许沉积金属通孔,金属通孔被电连接至金属线并且由于存在选择性沉积的材料130而与衬底中的其它金属线的接触件为电绝缘。
图2显示出选择性沉积方法200的工艺流程图。如图中可见,方法200包括操作204以引入含硅抑制剂以提供抑制剂层在衬底的第一区域上、以及操作206以在衬底的第二区域上沉积材料。操作204、206可提供选择性沉积,其中含硅抑制剂被选择性沉积在第一区域上而在第二区域上相对不存在,且其中材料被选择性沉积在第二区域上而在第一区域上相对不存在。
方法200可包括可选的操作。操作202包括可选地对于具有第一和第二区域的半导体衬底的表面进行预处理。在一些实施方案中,预处理提供经活化的表面,其促进含硅抑制剂在第一区域上的选择性沉积。非限制性预处理工艺包括等离子体处理、还原等离子体处理、或从第一区域去除金属氧化物或其它表面化学物质的其它还原工艺。等离子体处理可包括感应耦合等离子体(ICP)或电容耦合等离子体(CCP)。在一实施方案中,处理包括使用氢(H2)气进行约1至10分钟的处理时间。在另一实施方案中,处理包括ICP等离子体源,等离子体气体为氢(H2)气及氧(O2)气(例如,在约100W的功率下)。非限制性条件包括约30秒至360秒的处理时间、和/或约20℃的衬底温度。在又一实施方案中,处理包括CCP等离子体源,等离子体气体为氨(NH3)气(例如,在约100W的功率下)。非限制性条件包括约10秒至60秒的处理时间、和/或约200℃至300℃的衬底温度。
可进一步处理抑制剂层,例如,在从约250℃至350℃的温度下进行退火,可选地,进行约10秒至360秒的时间。不希望受限于机制,这样的退火步骤可提供有序层,其可能有利于金属氧化物在第二区域上的选择性沉积。
在沉积金属氧化物之后,可在金属氧化物的表面的至少一部分上设置另外的层。这类另外的层可作为蚀刻停止层、扩散阻挡层、覆盖层、衬垫层等。非限制性的另外的层可包括电介质(例如,本文中所述的任何一者)、钽(Ta)或钽氮化物(TaxNy)。
操作208包括可选地对于表面进行后处理,以从第一区域去除抑制剂层。这样的后处理可包括等离子体处理、湿式蚀刻剂化学过程、干式蚀刻剂化学过程、或其组合。这样的后处理工艺可包括使第一区域的损坏最小化的工艺。在另一实施方案中,处理包括ICP或CCP等离子体源,等离子体气体为氢(H2)气或氨(NH3)气。非限制性条件包括约30秒至360秒的处理时间、和/或约100℃至300℃的衬底温度。
操作210包括可选地提供图案化的通孔,其电连接至第一区域。可通过使用图案化掩模并且沉积金属在掩模内的开放特征内而提供这样的通孔,以提供电连接至第一区域的金属连接件。
图3提供了通过使用本文中所述的工艺而形成的示例性堆叠件300。如图中可见,堆叠件300包括具有被电介质312围绕的金属线310、311的衬底。利用本文中的工艺,金属线310、311可作为第一区域,抑制剂层可沉积在第一区域上;电介质312可作为第二区域,金属氧化物330可沉积在第二区域上。可选地,可沉积另外的层332在金属氧化物的表面的至少一部分上。进一步的图案化可提供电连接至金属线311的金属通孔340、覆盖层335、及另外的介电层350。在金属通孔340的特征边缘上的预期位置与实际位置之间的距离可以边缘放置误差来表示,如图3中的E所示。
可基于含硅抑制剂及所沉积的金属氧化物的组成及化学特性而优化工艺条件。例如,含硅抑制剂的沉积可通过在各种基座温度(例如,从120℃至300℃)或压强(例如,从5至10Torr)下的质量变化和/或水接触角(WCA)来表示。在特定实施方案中,可获得约100°或更大的WCA。其它研究可包括抑制剂层的化学特性描述,例如通过傅立叶变换红外线光谱(FTIR)、穿透式电子显微镜(TEM)、横截面穿透式电子显微镜(XTEM)、和/或能量分散X射线光谱(EDS)。
图4A-4C提供XTEM图像,其显示了区域411、412及413的金属表面的选择性抑制、以及铝氧化物420在衬底材料410的非金属表面上的选择性沉积。抑制剂的沉积可在约100℃至400℃的温度、约1至10Torr的压强、约1至10slm的流量范围、并且使用惰性载气(例如,N2)下进行。
含硅抑制剂
含硅抑制剂可包括任何包含至少一个Si原子和至少一个有机部分的抑制剂。在一实施方案中,含硅抑制剂包括至少一个Si-H键或基团。在另一实施方案中,含硅抑制剂包括至少三个Si-H键及有机部分,即RSiH3,其中R为有机部分。
在一实施方案中,含硅抑制剂包括包含一个Si原子的头基团及包含一个有机部分的尾基团。在另一实施方案中,头基团是或包括-SiH3或-SiH2X1或-SiHX1X2,其中X1和X2每一者独立地选自于由氢(H)、卤素、任选经取代的脂肪族、任选经取代的环脂肪族、或任选经取代的芳香族所构成的群组。在特定实施方案中,X1和X2每一者独立地为氢(H)、氟(F)、氯(Cl)、溴(Br)或碘(I)。在其它实施方案中,X1和X2每一者独立地为H、卤素、或任选经取代的C1-6烷基。
在其它实施方案中,含硅抑制剂为或包括RSiHX1X2,其中R为有机部分,且X1和X2每一者为本文中所述的任何一者。在特定实施方案中,X1和X2每一者独立地为H、F、Cl、Br或I。在其它实施方案中,X1和X2每一者独立地为H、卤素、任选经取代的C1-6烷基。
非限制性有机部分(或R)可为或包括任选经取代的脂肪族、任选经取代的杂脂肪族、任选经取代的环脂肪族、任选经取代的杂环脂肪族、或任选经取代的芳香族。在其它实施方案中,有机部分可为或包括任选经取代的烷基、任选经取代的烯基、任选经取代的炔基、任选经取代的杂烷基、任选经取代的杂烯基、任选经取代的杂炔基、任选经取代的环烷基、任选经取代的环杂烷基、任选经取代的芳基、或任选经取代的杂环基。用于有机部分的取代基的示例可包括本文中关于烷基或芳基所述的任何者。在特定实施方案中,有机部分包括支链烃。在其它实施方案中,有机部分包括具有一个或更多卤素取代基(例如,一或更多氟取代基)的任选经取代的烷基。
在其它实施方案中,有机部分为或包括-X-L-Z,其中:
X为共价键、任选经取代的亚烷基、任选经取代的亚烯基、任选经取代的亚炔基、任选经取代的亚烷氧基、任选经取代的杂亚烷基、任选经取代的杂亚烯基、任选经取代的杂亚炔基、任选经取代的亚芳基、任选经取代的亚芳氧基、或任选经取代的杂环基二基(heterocyclyldiyl);
L为共价键、-CR1R2-、-CR1=CR2-、-NR1-、-C(O)-、-C(O)NR1-、-
NR1C(O)-、-C(O)O-、-OC(O)-、-S-或-O-;
Z为H、任选经取代的烷基、任选经取代的烯基、任选经取代的炔基、任选经取代的杂烷基、任选经取代的杂烯基、任选经取代的杂炔基、任选经取代的芳基、或任选经取代的杂环基;以及
R1和R2每一者独立地为H、或任选经取代的烷基。
在一些实施方案中,X为任选经取代的亚烷基;L为共价键、-CR1R2-、-CR1=CR2-、-NR1-、-C(O)-、-C(O)NR1-、-NR1C(O)-、-C(O)O-、-OC(O)-、-S-、或-O-;Z为H或任选经取代的烷基;R1和R2每一者独立地为H、或任选经取代的C1-6烷基。
在特定实施方案中,有机部分包括6至26个碳原子(例如,6至24、6至20、6至18、8至26、8至24、8至20、8至18、10至26、10至24、10至20、或10至18个碳原子)在直链、支链或环状基团内。可选地,有机部分可为或包括任选经取代的C6-26烷基、任选经取代的C6-26烯基、任选经取代的C6-26炔基、任选经取代的C6-26杂烷基、任选经取代的C6-26杂烯基、任选经取代的C6-26杂炔基、任选经取代的C6-26环烷基、任选经取代的C6-26环杂烷基、任选经取代的C6-26芳基、或任选经取代的C6-26杂环基。
非限制性的含硅抑制剂包括正十八烷基硅烷(C18H40Si)、十三烷基硅烷(C13H30Si)、十二烷基硅烷(C12H28Si)、十一烷基硅烷(C11H26Si)、癸基硅烷(C10H24Si)、癸-4-基硅烷(C10H24Si)、壬基硅烷(C9H22Si)、壬-4-基硅烷(C9H22Si,nonan-4-ylsilane)、辛-2-基硅烷(C8H20Si,octan-2-ylsilane)、辛基硅烷(C8H20Si)、庚基硅烷(C7H18Si)、庚-4-基硅烷(C7H18Si,heptan-4-ylsilane)、(十三氟-1,1,2,2-四氢辛基)硅烷(C8H7F13Si)、10-十一烯基硅烷(C11H24Si)等。
装置
图5示意性地显示了处理工作站500的实施方案,其可用于使用原子层沉积(ALD)和/或化学气相沉积(CVD)来沉积材料,其中任一者可以是等离子体增强的。为简单起见,将处理站500描绘为独立的处理站,其具有用于维持低压环境的处理室体502。然而应理解,多个处理站500可被包含在共同的处理装置环境中。还应理解,在一些实施方案中,可以一或多个计算机控制器以程序方式调整处理站500的一或多个硬件参数(包含本文中详细讨论的参数)。
处理站500与反应物输送系统501流体连通,反应物输送系统501用于将工艺气体输送至分配喷头506。反应物输送系统501包含用于混合和/或调整待输送至喷头506的工艺气体的混合容器504。一或多个混合容器入口阀520可控制工艺气体至混合容器504的引入。类似地,喷头入口阀505可控制工艺气体至喷头506的引入。
某些反应物,像BTBAS,可以液体形式贮存,然后汽化并随后输送至处理站。例如,图5的实施方案包含用于蒸发待供给至混合容器504的液体反应物的蒸发点503。在一些实施方案中,蒸发点503可以是经加热的蒸发器。从这种蒸发器所产生的反应物蒸气会在下游输送管线中凝结。不相容的气体暴露于已凝结的反应物可能会产生小颗粒。这些小颗粒会阻塞管线、阻碍阀操作、污染衬底等。解决这些问题的某些方法涉及扫除和/或排空输送管线以去除剩余的反应物。然而,扫除输送管线会增加处理站循环时间,降低处理站的产量。因此,在一些实施方案中,可热追踪蒸发点503下游的输送管线。在某些示例中,也可热追踪混合容器504。在非限制性的示例中,蒸发点503下游的管线具有较高温度的分布,其从约100℃延伸至混合容器504处的约150℃。
在一些实施方案中,反应物液体可以在液体注入器处蒸发。例如,液体注入器可将液体反应物的脉冲注入至混合容器上游的载气流中。在一种情况下,液体注入器可通过瞬间使液体从较高压力变为较低压力来蒸发反应物。在另一情况下,液体注入器可将液体雾化为分散微滴,这些分散微滴接着在经加热的输送管线中蒸发。应明白,较小的液滴比较大的液滴更快蒸发,因此可降低液体注入与完成蒸发之间的延迟。较快蒸发可减少蒸发点505下游的管线的长度。在一情况下,液体注入器可直接安装至混合容器504。在另一情况下,液体注入器可直接安装至喷头506上。
在一些实施方案中,可提供蒸发点503上游的液流控制器以控制蒸发以及输送至处理站500的液体的质量流量。例如,液流控制器(LFC)可包含位于LFC下游的热质量流量计(MFM)。接着可调整LFC的柱塞阀以响应与MFM电通信的比例-积分-微分(PID)控制器所提供的反馈控制信号。然而,利用反馈控制可能要花一秒或更长时间才能稳定液流。这可能会延长液态反应物的配料时间。因此,在一些实施方案中,LFC可动态地在反馈控制模式与直接控制模式之间切换。在一些实施方案中,LFC可通过停用LFC与PID控制器的感测管来动态地从反馈控制模式切换至直接控制模式。
喷头506向衬底512分配工艺气体。在图5所示的实施方案中,衬底512位于喷头506下方,并且显示为搁置在基座508上。应当理解,喷头506可以具有任何合适的形状,并且可以具有用于将工艺气体分配到衬底512的任何合适数量和布置的端口。
在一些实施方案中,微体积507位于喷头506下方。在处理站中的微体积中进行ALD和/或CVD工艺会比在整个体积中进行ALD和/或CVD工艺能减少反应物暴露与扫除时间、可降低转换工艺条件(如压力、温度等)所需的时间、可限制处理站机械手被暴露于工艺气体等。微体积尺寸的示例可包含但不限于介于0.1升至2升之间的体积。该微体积也会影响生产产量。虽然每一循环的沉积率减少,但循环时间也同时减少。在某些情况下,后者的效应大到足以改善针对特定目标膜厚度的模块的整体产量。
在一些实施方案中,可升高或降低基座508以将衬底512暴露于微体积507和/或改变微体积507的体积。例如,在衬底传送阶段中,可降低基座508以使衬底512能加载到基座508上。在沉积工艺阶段中,可升高基座508以将衬底512置于微体积507中。在一些实施方案中,微体积507可完全围绕衬底512以及基座508的一部分以在沉积工艺期间产生高流动阻抗区域。
任选地,可在部分沉积工艺期间降低和/或升高基座508以调节微体积507内的工艺压力、反应物浓度等。在沉积期间处理室体502被维持在一基础压力的情况下,降低基座508可使微体积507被排空。微体积的体积对处理室的体积的示例性比例可包含但不限于介于1:500至1:10之间的比例。应理解,在一些实施方案中,可通过合适的计算机控制器以编程方式调整基座高度。
在另一情况下,调整基座508的高度可改变沉积工艺中所包含的等离子体活化期间和/或工艺循环期间的等离子体密度。在完成沉积工艺阶段时,可在另一衬底传送阶段期间降低基座508以使得能从基座508去除衬底512。
虽然本文中所述的示例性微体积变化是指高度可调整的基座,但应理解,在一些实施方案中,可调整喷头506相对于基座508的位置以改变微体积507的体积。另外,应理解,在本发明的范围内可通过任何适当的机构来改变基座508和/或喷头506的竖直位置。在一些实施方案中,基座508可包含用于旋转衬底512的方位的旋转轴。应当明白,在一些实施方案中,可通过一或多个合适的计算机控制器以编程方式执行这些示例性调整的一或多种。
回到图5所示的实施方案,喷头506与基座508是与用于对等离子体供给能量的RF电源514与匹配网络516电连通。在一些实施方案中,可通过控制处理站压力、气体浓度、RF源功率、RF源频率以及等离子体功率脉冲时点中的一或多者来控制等离子体能量。例如,可在任何适当的功率下操作RF电源514与匹配网络516以产生具有期望的自由基物质组成的等离子体。适当功率的示例已包含在上面的段落。类似地,RF电源514可提供具有任何适当频率的RF功率。在一些实施方案中,RF电源514可用于彼此独立地控制高频与低频RF电源。低频RF频率的示例可包含但不限于介于50kHz和500kHz之间的频率。高频RF频率的示例可包含但不限于介于1.8MHz和2.45GHz之间的频率。应明白,可以离散地或连续地调节任何适当的参数以提供用于表面反应的等离子体能量。在一非限制性的示例中,相对于被连续供电的等离子体,可间歇地给等离子体功率施以脉冲以降低对衬底表面的离子轰击。
在一些实施方案中,可通过一或多个等离子体监测器原位监测等离子体。在一情况下,可通过一或多个电压、电流传感器(如VI探针)监测等离子体功率。在另一情况下,可通过一或多个光发射光谱(OES)传感器测量等离子体密度和/或工艺气体的浓度。在一些实施方案中,可基于来自这种原位监测器的测量值以编程方式调整一或多个等离子体参数。例如,可在提供等离子体功率的程序化控制的反馈回路中使用OES传感器。应理解,在一些实施方案中,可使用其他监测器监测等离子体与其他工艺特性。这种监测器可包含但不限于红外线(IR)监测器、声学监测器以及压力传感器。
在一些实施方案中,可通过输入/输出控制(IOC)序列指令控制等离子体。在一示例中,设定用于等离子体工艺阶段的等离子体条件的指令可被包含在沉积工艺配方的对应等离子体活化配方阶段中。在某些情况下,工艺配方阶段可按顺序配置,使得用于沉积工艺阶段的所有指令与该工艺阶段同步执行。在一些实施方案中,可将用于设定一或多个等离子体参数的指令包含在等离子体工艺阶段之前的配方阶段中。例如,第一配方阶段可包含用于设定惰性气体和/或反应物气体的流率的指令、用于将等离子体产生器设定至功率设定点的指令以及用于第一配方阶段的时间延迟指令。后续的第二配方阶段可包含用于启用等离子体产生器的指令以及用于第二配方阶段的时间延迟指令。第三配方阶段可包含用于使等离子体产生器停用的指令以及用于第三配方阶段的时间延迟指令。应理解,在本发明的范围内这些配方阶段可以任何合适的方式被更进一步地细分和/或重复。
在一些沉积工艺中,等离子体点燃持续几秒或更长时间的数量级。在某些实现方案中,可使用更短的等离子体点燃。这些可能为10ms至1秒的数量级,通常为约20至80ms,其中一特定示例为50ms。这种非常短的RF等离子体点燃需要极快的等离子体稳定。为了实现这一点,等离子体产生器可配置为使得阻抗匹配被预先设定为特定电压,而允许频率为浮动。通常,高频等离子体以约13.56MHz的RF频率产生。在本文中所公开的各种实施方案中,允许频率浮动至与该标准值不同的值。通过在将阻抗匹配固定至预定电压的同时允许频率浮动,等离子体可更快地稳定,当使用与某些类型的沉积循环相关的非常短的等离子体点燃时,此结果可能很重要。
在一些实施方案中,基座508可通过加热器510控制温度。另外,在一些实施方案中,可通过蝶阀518提供沉积处理站500的压力控制。如图5的实施方案中所示,蝶阀能调节下游真空泵(未显示)提供的真空。然而在一些实施方案中,可通过改变被导入处理站500的一或多种气体的流率而调整处理站500的压力控制。
图6示出了多站式处理工具600的实施方案的概要视图,其具有入站装载锁602和出站装载锁604,入站装载锁602和出站装载锁604的一者或者两者可以包含远程等离子体源。处于大气压的机械手606被配置为将晶片从通过晶舟608装载的盒经由大气端口610移动至入站装载锁602内。晶片由机械手606放置在入站装载锁602中的基座612上,关闭大气端口610,且抽空装载锁。当入站装载锁602包含远程等离子体源时,晶片在被引入处理室614之前,可以暴露至装载锁中的远程等离子体工艺。此外,晶片另外也可以在入站装载锁602中加热,例如以移除湿气和吸附的气体。接下来,通向处理室614的室传输端口616被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图6中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
绘出的处理室614包含4个处理站,图6所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为618)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。尽管绘出的处理室614包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图6描绘了用于在处理室614内传输晶片的晶片搬运系统690的一些实施方案。在一些实施方案中,晶片搬运系统690可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图6还绘出了采用来控制处理工具600的工艺条件和硬件状态的系统控制器650的实施方案。系统控制器650可以包含一个或多个存储器设备656、一个或多个海量存储设备654和一个或多个处理器652。处理器652可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器650控制处理工具600的所有活动。系统控制器650执行存储在海量存储设备654、载入存储器设备656、并由处理器652执行的系统控制软件658。系统控制软件658可以包含用于控制时序、气体的混合、室和/或站压强、室和/或站温度、清扫条件和时序、晶片温度、RF功率电平、RF频率、衬底、基座、卡盘和/或基座位置、以及由处理工具600执行的特定处理的其它参数的指令。系统控制软件658可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制执行根据所公开的方法的各种处理工具处理所必要的处理工具部件的操作。系统控制软件658可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件658可以包含用于控制上述各种参数的输入/输出控制(IOC)排序指令。例如,等离子体增强ALD(PEALD)工艺的每一阶段可包括由系统控制器650来执行的一个或更多指令。用于设定PEALD工艺阶段的工艺条件的指令可包含在对应的PEALD配方阶段中。在一些实施方案中,可按顺序安排PEALD配方阶段,以使PEALD工艺阶段的所有指令与该工艺阶段同时执行。
在一些实施方案中可以采用与系统控制器650关联的、存储在海量存储设备654和/或存储器设备656的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座618,并控制衬底和处理工具600的其它部分之间的间隔。
工艺气体控制程序可包含用于控制气体组成和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。工艺气体控制程序可以包括用于将气体组成和流率控制在任何公开范围内的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。压强控制程序可以包括用于将处理站中的压强保持在任何公开的压强范围内的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。加热器控制程序可包括将衬底的温度保持在任何公开的范围内的指令。
等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率电平和频率的代码,例如用于使用本文公开的任何RF功率电平的代码。等离子体控制程序还可以包括用于控制每次等离子体暴露的持续时间的代码。
在一些实施方案中,可以存在与系统控制器650相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如点击设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器650调节的参数会涉及工艺条件。非限制性实例包含工艺气体组成和流率、温度、压强、等离子体条件(例如,RF功率电平、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器650的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制工艺的信号可以通过处理工具600的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
可以使用任何合适的室来实施所公开的实施方案。示例性沉积装置包括但不限于来自
Figure BDA0004028906400000201
产品系列、
Figure BDA0004028906400000202
产品系列和/或
Figure BDA0004028906400000203
产品系列的装置(每一者都可从Lam Research Corp.(Fremont,California)获得)或任何各种其他市售处理系统。两个或多个站可以执行相同的功能。类似地,两个或更多站可以执行不同的功能。每个站都可以设计/配置为根据需要执行特定的功能/方法。
图7为根据某些实施方案适合执行薄膜沉积工艺的处理系统的框图。系统700包括传送模块703。传送模块703提供清洁、加压的环境,以最小化当正被处理的衬底在各个反应器模块之间移动时被污染的风险。根据某些实施方案,安装在传送模块703上的是两个多站式反应器709和710,每个反应器能够执行原子层沉积(ALD)和/或化学气相沉积(CVD)。反应器709和710可以包括多个站711、713、717和717,其可以根据所公开的实施方案顺序地或非顺序地执行操作。这些站可包括加热的基座或衬底支撑件、一个或多个气体入口或喷头或分散板。
安装在传送模块703上的还可以是一个或多个单站或多站式模块707,其能够执行等离子体或化学(非等离子体)预清洁,或者与所公开的方法有关的任何其他工艺。在一些情况下,模块707可以用于各种工艺,以例如制备用于沉积工艺的衬底。模块707还可以被设计/配置为执行各种其他工艺,例如蚀刻或抛光。系统700还包括一个或多个晶片源模块701,其中在工艺之前和之后存储晶片。大气传送室719中的大气机械手(未示出)可以首先将晶片从源模块701移动到装载锁721。传送模块703中的晶片传送装置(通常是机械臂单元)将晶片从装载锁721移动到安装在传送模块703上的模块中以及在安装在传送模块703上的模块中移动。
在多种实施方案中,系统控制器729用于控制沉积过程中的工艺条件。控制器729通常将包括一或多个存储器设备和一或多个处理器。处理器可包括CPU或计算器、模拟和/或数字输入/输出连接部、步进马达控制器板,等等。
控制器729可控制沉积装置的活动中的全部。系统控制器729执行系统控制软件,系统控制软件包括用于控制定时、气体的混合物、室压、室温、晶片温度、射频(RF)功率等级、晶片卡盘或基座位置以及特殊工艺的其他参数的成组的指令。存储在与控制器729相关联的存储器设备的其他计算机程序可在一些实施方案中被采用。
通常会有与控制器729相关联的用户界面。用户界面可包括显示屏、该装置和/或工艺条件的图形软件显示器以及诸如指点设备、键盘、触摸屏、话筒等用户输入设备。
系统控制逻辑可以用任何合适的方式来配置。一般而言,该逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件被提供。指令可通过“编程”提供。这种编程被理解为包括任何形式的逻辑,包括数字信号处理器、专用集成电路以及具有实现为硬件的具体算法的其他器件中的硬编码逻辑。编程还被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以任何合适的计算机可读编程语言进行编码。
用于控制含锗还原剂脉冲、氢气流和含钨前体脉冲以及工艺序列中的其他工艺的计算机程序代码可以任何常用计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其他编写。编译的目标代码或脚本由处理器执行以完成程序中所识别的任务。同样如所指示的,程序代码可以是硬编码的。
控制器参数与工艺条件有关,诸如,例如工艺气体组分和流率、温度、压强、冷却气压、衬底温度以及室壁温度。这些参数以配方的形式被提供给用户,且可利用用户界面输入。用于监控工艺的信号可通过系统控制器729的模拟和/或数字输入连接被提供。用于控制工艺的信号通过沉积装置700的模拟和数字输出连接被输出。
可以以许多不同方式设计或配置系统软件。例如,根据所公开的实施方案,可以编写各种室部件子程序或控制对象以控制执行沉积工艺(以及在一些情况下的其他工艺)所必需的室部件的操作。用于该目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码和加热器控制代码。
在一些实现方案中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体工艺设备,半导体工艺设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、在一些系统中的射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
从广义上讲,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的工艺。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体工艺系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
定义
“脂肪族(aliphatic)”意指具有至少一个碳原子至50个碳原子(C1-50)的烃基,例如1至25个碳原子(C1-25)、或1至10个碳原子(C1-10),且其包含烷烃(或烷基)、烯烃(或烯基)、炔烃(或炔基),包含其环状形式,并且进一步包含直链和支链排列,以及所有立体和位置异构体。这样的脂肪族可为未经取代或经一个或更多基团取代,例如本文对烷基所述的基团。
“烯基(alkenyl)”意指具有至少两个碳原子至50个碳原子(C2-50)(例如两个至25个碳原子(C2-25)、或两个至十个碳原子(C2-10))以及至少一个碳-碳双键的不饱和单价烃,其中不饱和单价烃可衍生自从母体烯烃的一个碳原子上除去一个氢原子。烯基可以是支链、直链、环状(例如环烯基)、顺式或反式(例如E或Z)。示例性的烯基包含具有一个或更多双键的任选地经取代的C2-24烷基。烯基可以是单价或多价(例如二价),其通过去除一个或更多氢以形成与母分子基团适当连接或母分子基团与另一取代基之间的适当连接。烯基也可以是经取代或未经取代的。例如,烯基可经一个或更多取代基取代,如本文对烷基所述的。
“亚烯基(alkenylene)”指烯基团(如本文中所定义)的多价(例如,二价)形式。亚烯基可为经取代的或未经取代的。例如,亚烯基可以一个或更多取代基(如本文中关于烷基所述的)取代。
“烷基(alkyl)”意指具有至少一个碳原子至50个碳原子(C1-50)(例如1至25个碳原子(C1-25)、或1至10个碳原子(C1-10)的饱和单价烃,其中饱和单价烃可衍生自从母化合物(例如烷烃)的一个碳原子上去除一个氢原子。烷基可以是支链、直链或环状(例如,环烷基)。示例性的烷基包含具有1至24个碳原子的支链或非支链饱和烃基,例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、叔丁基、正戊基、异戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基及类似物。烷基也可以是经取代或未经取代的。烷基可以是单价或多价(例如,二价),其通过去除一个或更多氢以形成与母分子基团的适当连接或母分子基团与另一取代基之间的适当连接。例如,烷基可经一个、两个、三个或(在具有两个或更多碳的烷基的情况下)四个取代基取代,取代基独立地选自由以下项组成的群组:(1)C1-6烷氧基(例如-O-R,其中R为C1-6烷基);(2)C1-6烷基亚磺酰基(例如-S(O)-R,其中R为C1-6烷基);(3)C1-6烷基磺酰基(例如-SO2-R,其中R为C1-6烷基);(4)胺(例如,-C(O)NR1R2或-NHCOR1,其中R1与R2中的每一者独立地选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或其任何组合,或R1与R2连同各自所连接的氮原子形成如本文所定义的杂环基);(5)芳基;(6)芳基烷氧基(例如-O-L-R,其中L为烷基而R为芳基);(7)芳酰基(例如,-C(O)-R,其中R为芳基);(8)叠氮基(例如-N3);(9)氰基(例如-CN);(10)醛基(例如,-C(O)H);(11)C3-8环烷基;(12)卤素;(13)杂环基(例如,如本文所定义的,例如含有一个、两个、三个或四个非碳杂原子的5-、6-或7-元环);(14)杂环氧基(例如-O-R,其中R为如本文所定义的杂环基);(15)杂环酰基(例如-C(O)-R,其中R为如本文所定义的杂环基);(16)羟基(例如-OH);(17)N-保护氨基;(18)硝基(例如-NO2);(19)氧代基(例如,=O);(20)C1-6硫代烷氧基(例如-S-R,其中R为烷基);(21)硫醇基(例如-SH);(22)-CO2R1,其中R1选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(23)-C(O)NR1R2,其中R1与R2中的每一者独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(24)-SO2R1,其中R1选自由以下项组成的群组:(a)C1-6烷基、(b)C4-18芳基以及(c)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(25)-SO2NR1R2,其中R1与R2中的每一者独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);以及(26)-SiR1R2R3,其中R1和R2及R3每一者独立地选自于由下列项所构成的群组:(a)氢、(b)卤素(例如F、Cl、Br或I)、(c)C1-6烷基、(d)C2-6烯基、(e)C2-6炔基、或(f)C1-6烷氧基(例如,-OR,其中R为C1-6烷基);以及(27)-NR1R2,其中R1与R2中的每一者独立地选自由以下项组成的群组:(a)氢、(b)N-保护基、(c)C1-6烷基、(d)C2-6烯基、(e)C2-6炔基、(f)C4-18芳基、(g)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基,R为C4-18芳基)、(h)C3-8环烷基、以及(i)C1-6烷基-C3-8环烷基(例如,-L-R,其中L为C1-6烷基而R为C3-8环烷基),其中在一实施方案中,没有两个基团通过羰基或磺酰基与氮原子结合。烷基可以是经一个或更多取代基(例如,一个或更多卤素或烷氧基)取代的伯烷基、仲烷基或叔烷基。在一些实施方案中,未经取代的烷基为C1-3、C1-6、C1-12、C1-16、C1-18、C1-20或C1-24烷基。
“亚烷基(alkylene)”指烷基团(如本文中所述)的多价(例如,二价)形式。示例性的亚烷基包含亚甲基、亚乙基、亚丙基、亚丁基等。在某些实施方案中,亚烷基为C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、C1-24、C2-3、C2-6、C2-12、C2-16、C2-18、C2-20、或C2-24亚烷基。亚烷基可为支链或直链的。亚烷基也可为经取代的或未经取代的。例如,亚烷基可以一个或更多取代基(如本文中关于烷基所述的)取代。
“亚烷氧基(alkyleneoxy)”指经由氧原子而附接至母分子基团的亚烷基(如本文中所定义的)。
“炔基(alkynyl)”意指具有至少两个碳原子至50个碳原子(C2-50)(例如两个至25个碳原子(C2-25)、或两个至十个碳原子(C2-10))以及至少一个碳-碳三键的不饱和单价烃,其中不饱和单价烃可衍生自从母体炔烃的一个碳原子上除去一个氢原子。炔基可以是支链、直链或环状(例如,环炔基)。示例性的炔基包含具有一个或更多三键的任选地经取代的C2-24烷基。炔基可以是环状或非环状,例如乙炔基、1-丙炔基以及类似物。炔基可以是单价或多价(例如,二价),其通过去除一个或更多氢以形成与母分子基团的适当连接或母分子基团与另一取代基之间的适当连接。炔基也可以是经取代或未经取代的。例如,炔基可经一个或更多取代基取代,如本文对烷基所述的。
“亚炔基(alkynylene)”指炔基团(如本文中所定义)的多价(例如,二价)形式。亚炔基可为经取代的或未经取代的。例如,亚炔基可以一个或更多取代基(如本文中关于烷基所述的)取代。
“芳香族(aromatic)”意指具有单环(例如苯基)或多个稠合环的5至15个(除非另指明)环原子的环状共轭基团或部分,其中至少一个环为芳香族(例如,萘基、吲哚基或吡唑并吡啶基(pyrazolopyridinyl));即,至少一个环及可选地多个稠合环具有连续且离域的π电子系统。通常,平面外π电子的数量对应于休克尔(Huckel)规则(4n+2)。与母体结构的连接点通常是通过稠合环系统的芳香族部分。这样的芳香族可为未经取代或经一个或更多基团取代,例如本文对烷基或芳基所述的基团。还有的其他取代基团可包括脂肪族、卤代脂肪族、卤素、硝酸基、氰基、磺酸酯基、磺酰基或其他。
“芳基(aryl)”意指包含至少五个碳原子至15个碳原子(C5-15)(例如五至十个碳原子(C5-10))的芳香族碳环基,其具有单环或多个稠合环,其稠合的环可以是或可以不是芳香族,条件是与本文所公开的化合物的剩余位置的连接点是通过芳香族碳环基的原子。芳基可经一个或更多除氢以外的基团(例如脂肪族、杂脂肪族、芳香族、其它官能团或其任何组合)取代。示例性的芳基包含,但不限于,苄基、萘、苯基、联苯基、苯氧基苯及类似物。术语芳基还包含杂芳基,其定义为包含芳香基的基团,该芳香基具有并入芳香基的环内的至少一个杂原子。杂原子的示例包含,但不限于氮、氧、硫和磷。同样,也包含在术语芳基中的术语非杂芳基定义含有芳香基且不含杂原子的基团。芳基可以是经取代或未经取代的。芳基可经一个、两个、三个、四个或五个取代基取代,取代基独立地选自由以下项组成的群组:(1)C1-6烷酰基(例如-C(O)-R,其中R为C1-6烷基);(2)C1-6烷基;(3)C1-6烷氧基(例如-O-R,其中R为C1-6烷基);(4)C1-6烷氧基-C1-6烷基(例如-L-O-R,其中L与R各自独立地为C1-6烷基);(5)C1-6烷基亚磺酰基(例如-S(O)-R,其中R为C1-6烷基);(6)C1-6烷基亚磺酰基-C1-6烷基(例如-L-S(O)-R,其中L与R各自独立地为C1-6烷基);(7)C1-6烷基磺酰基(如-SO2-R,其中R为C1-6烷基);(8)C1-6烷基磺酰基-C1-6烷基(例如-L-SO2-R,其中L与R各自独立地为C1-6烷基);(9)芳基;(10)胺(例如,-NR1R2,其中R1与R2各自独立地选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或其任何组合;或R1与R2连同各自所连接的氮原子形成如本文所定义的杂环基);(11)C1-6氨基烷基(例如,-L1-NR1R2或-L2-C(NR1R2)(R3)-R4,其中L1为C1-6烷基;L2为共价键或C1-6烷基;R1与R2各自独立地选自如本文所定义的氢、脂肪族、杂脂肪族、卤代脂肪族、卤代杂脂肪族、芳香族、或其任何组合;或R1与R2连同各自所连接的氮原子形成如本文所定义的杂环基;R3与R4各自独立地为H或C1-6烷基);(12)杂芳基;(13)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基而R为C4-18芳基);(14)芳酰基(例如,-C(O)-R,其中R为芳基);(15)叠氮基(例如,-N3);(16)氰基(例如,-CN);(17)C1-6叠氮烷基(例如,-L-N3,其中L为C1-6烷基);(18)醛(例如,C(O)H);(19)醛-C1-6烷基(例如,-L-C(O)H,其中L是C1-6烷基);(20)C3-8环烷基;(21)C1-6烷基-C3-8环烷基(例如,-L-R,其中L为C1-6烷基且R为C3-8环烷基);(22)卤素;(23)C1-6卤代烷基(例如,-L1-X或-L2-C(X)(R1)-R2,其中L1为C1-6烷基;L2为共价键或C1-6烷基;X为氟、溴、氯或碘;且R1与R2各自独立地为H或C1-6烷基);(24)杂环基(例如,如本文所定义的,例如含有一个、两个、三个或四个非碳杂原子的5-、6-或7-元环);(25)杂环氧基(例如,-O-R,其中R为如本文所定义的杂环基);(26)杂环酰基(例如,-C(O)-R,其中R为如本文所定义的杂环基);(27)羟基(-OH);(28)C1-6羟烷基(例如,-L1-OH或-L2-C(OH)(R1)-R2,其中L1为C1-6烷基;L2为共价键或烷基;且R1与R2各自独立地为如本文所定义的H或C1-6烷基);(29)硝基;(30)C1-6硝基烷基(例如,-L1-NO或-L2-C(NO)(R1)-R,其中L1为C1-6烷基;L2为共价键或烷基;且R1与R2各自独立地为如本文所定义的H或C1-6烷基);(31)N-保护氨基;(32)N-保护氨基-C1-6烷基;(33)氧代基(例如,=O);(34)C1-6硫代烷氧基(例如,-S-R,其中R为C1-6烷基);(35)硫代-C1-6烷氧基-C1-6烷基(例如,-L-S-R,其中L与R各自独立地为C1-6烷基);(36)-(CH2)rCO2R1,其中r为0至4的整数,且R1选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基、以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(37)-(CH2)rCONR1R2,其中r为0至4的整数,且其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基、以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(38)-(CH2)rSO2R1,其中r为0至4的整数,且其中R1选自由以下项组成的群组:(a)C1-6烷基、(b)C4-18芳基、及(c)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(39)-(CH2)rSO2NR1R2,其中r为0至4的整数且其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)C1-6烷基、(c)C4-18芳基、以及(d)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基);(40)-(CH2)rNR1R2,其中r为0至4的整数且其中R1与R2各自独立地选自由以下项组成的群组:(a)氢、(b)N-保护基、(c)C1-6烷基、(d)C2-6烯基、(e)C2-6炔基、(f)C4-18芳基、(g)C1-6烷基-C4-18芳基(例如,-L-R,其中L为C1-6烷基且R为C4-18芳基)、(h)C3-8环烷基、以及(i)C1-6烷基-C3-8环烷基(例如,-L-R,其中L为C1-6烷基且R为C3-8环烷基),其中在一实施方案中没有两个基团通过羰基或磺酰基与氮原子结合;(41)硫醇(例如,-SH);(42)全氟烷基(例如,-(CF2)nCF3,其中n为0至10的整数);(43)全氟烷氧基(例如,-O-(CF2)nCF3,其中n为0至10的整数);(44)芳氧基(例如,-O-R,其中R为芳基);(45)环烷氧基(例如,-O-R,其中R为环烷基);(46)环烷基烷氧基(例如,-O-L-R,其中L为烷基且R为环烷基);(47)芳基烷氧基(例如,-O-L-R,其中L为烷基,R为芳基);以及(48)-SiR1R2R3,其中R1和R2及R3每一者独立地选自于由以下项所构成的群组:(a)氢、(b)卤素,如F、Cl、Br、或I、(c)C1-6烷基、(d)C2-6烯基、(e)C2-6炔基、或(f)C1-6烷氧基(例如,-OR,其中R为C1-6烷基)。在特定的实施方案中,未经取代的芳基为C4-18、C4-14、C4-12、C4-10、C6-18、C6-14、C6-12、或C6-10芳基。
“亚芳基(arylene)”指芳基团(如本文中所述)的多价(例如,二价)形式。示例性亚芳基团包含亚苯基、亚萘基、亚联苯基、三亚苯基、二苯醚、苊烯、蒽烯基、或亚菲基。在某些实施方案中,亚芳基团为C4-18、C4-14、C4-12、C4-10、C6-18、C6-14、C6-12、或C6-10亚芳基团。亚芳基团可为支链或直链的。亚芳基团也可为经取代的或未经取代的。例如,亚芳基团可被一个或更多取代基(如本文中关于芳基所述的)取代。
“亚芳氧基(aryleneoxy)”指经由氧原子而附接至母分子基团的亚芳基(如本文中所定义的)。
“环脂肪族(cycloaliphatic)”意指如本文所定义的脂肪族基团,其为环状。所述环脂肪族基团可为饱和或不饱和。
“环烷基(cycloalkyl)”意指三至八个碳(除非另外指明)的单价饱和或不饱和的非芳香环烃基团,其举例而言有环丙基、环丁基、环戊基、环己基、环庚基、双环[2.2.1.庚基]及类似物。环烷基也可以是经取代或未经取代的。例如,环烷基可经一个或更多基团取代,包含本文对烷基所述的那些。
“环杂脂肪族(cycloheteroaliphatic)”指环状的杂脂肪族基团(如本文中所定义的)。这样的环杂脂肪族基团可为饱和或不饱和的。
“环杂烷基(cycloheteroalkyl)”指单价饱和或不饱和的、非芳香环状的、由三至八个碳所形成的烃基团,且在该烃基团内具有至少一个杂原子,其可选自于(但不限于)氧、氮、硫、硅、硼、硒、磷、及其氧化形式。环杂烷基也可为经取代的或未经取代的。例如,环杂烷原子基可以一或更多基团(包括本文中关于烷基所述的)取代。
“杂脂肪族(heteroaliphatic)”意指包含至少一个杂原子至20个杂原子(例如1至15个杂原子、或1至5个杂原子)的如本文所定义的脂肪族基团,杂原子可选自但不限于氧、氮、硫、硅、硼、硒、磷及基团内其氧化形式。
“杂烷基(heteroalkyl)”、“杂烯基(heteroalkenyl)”和“杂炔基(heteroalkynyl)”分别意指包含至少一个杂原子至20个杂原子(例如1至15个杂原子或1至5个杂原子)的如本文所定义的烷基、烯基或炔基(其可以是支链、直链或环状),杂原子可选自但不限于氧、氮、硫、硅、硼、硒、磷及其在基团内的氧化形式。杂烷基、杂烯基、和/或杂炔基也可为经取代的或未经取代的。例如,杂烷基、杂烯基、和/或杂炔基可以一个或更多基团(包括本文中关于烷基所述者)取代。
“杂亚烷基(heteroalkylene)”、“杂亚烯基(heteroalkenylene)”及“杂亚炔基(heteroalkynylene)”分别指包含至少1个杂原子至20个杂原子(例如,1至15个杂原子、或1至5个杂原子)的亚烷、亚烯、或亚炔基团(如本文中所定义),其可为支链、直链或环状的,杂原子可选自于(但不限于)氧、氮、硫、硅、硼、硒、磷、及其氧化形式。杂亚烷基、杂亚烯基、和/或杂亚炔基也可为经取代的或未经取代的。例如,杂亚烷基、杂亚烯基、和/或杂亚炔基可以一个或更多基团(包括本文中关于烷基所述的)取代。
“杂环基”意指3、4、5、6或7元环(例如,5、6或7元环),除非另有说明,否则该环包含一个、两个、三个或四个非碳杂原子(例如,其独立地选自氮、氧、磷、硫、硒或卤素)。3元环具有零到一个双键,4和5元环有零到两个双键,并且6和7元环有零到三个双键。术语“杂环基”还包括双环、三环和四环基团,其中任何上述杂环稠合到独立地选自芳环、环己烷环、环己烯环、环戊烷环、环戊烯环和另一个单环杂环(例如吲哚基、喹啉基、异喹啉基、四氢喹啉基、苯并呋喃基、苯并噻吩基等)的一个、两个或三个环。杂环包括吖啶基(acridinyl)、腺苷基(adenyl)、咯嗪基(alloxazinyl)、氮杂金刚烷基(azaadamantanyl)、氮杂苯并咪唑基(azabenzimidazolyl)、氮杂双环壬基(azabicyclononyl)、氮杂环庚基(azacycloheptyl)、氮杂环辛基(azacyclooctyl)、氮杂环壬基(azacyclononyl)、氮杂次黄嘌呤基(azahypoxanthinyl)、氮杂吲唑基(azaindazolyl)、氮杂吲哚基(azaindolyl)、阿吖啶基(azecinyl)、氮杂环庚烷基(azepanyl)、氮杂庚因基(azepinyl)、氮杂环丁烷基(azetidinyl)、氮杂环丁二烯基(azetyl)、氮丙啶基(aziridinyl)、吖丙啶基(azirinyl)、氮杂环辛烷基(azocanyl)、吖辛因基(azocinyl)、氮杂环壬烷基(azonanyl)、苯并咪唑基(benzimidazolyl)、苯并异噻唑基(benzisothiazolyl)、苯并异噪唑基(benzisoxazolyl)、苯并二氮杂庚因基(benzodiazepinyl)、苯并二吖辛因基(benzodiazocinyl)、苯并二氢呋喃基(benzodihydrofuryl)、苯并二氧庚因基(benzodioxepinyl)、苯并二氧杂环己烯基(benzodioxinyl)、苯并二氧杂环己基(benzodioxanyl)、苯并二氧辛因基(benzodioxocinyl)、苯并二氧杂环戊烯基(benzodioxolyl)、苯并二噻庚因基(benzodithiepinyl)、苯并二硫杂环己二烯基(benzodithiinyl)、苯并二氧辛因基(benzodioxocinyl)、苯并呋喃基(benzofuranyl)、苯并吩嗪基(benzophenazinyl)、苯并吡喃酮基(benzopyranonyl)、苯并哌喃基(benzopyranyl)、苯并芘基(benzopyrenyl)、苯并吡喃酮基(benzopyronyl)、苯并喹啉基(benzoquinolinyl)、苯并喹嗪基(benzoquinolizinyl)、苯并硫二氮庚因基(benzothiadiazepinyl)、苯并噻二唑基(benzothiadiazolyl)、苯并硫氮庚因基(benzothiazepinyl)、苯并硫吖辛因基(benzothiazocinyl)、苯并噻唑基(benzothiazolyl)、苯并噻吩基(benzothienyl)、苯并苯硫基(benzothiophenyl)、苯并噻嗪酮基(benzothiazinonyl)、苯并噻嗪基(benzothiazinyl)、苯并噻喃基(benzothiopyranyl)、苯并噻喃酮基(benzothiopyronyl)、苯并三氮庚因基(benzotriazepinyl)、苯并三嗪酮基(benzotriazinonyl)、苯并三嗪基(benzotriazinyl)、苯并三唑基(benzotriazolyl)、苯并氧硫杂环己二烯基(benzoxathiinyl)、苯并三氧庚因基(benzotrioxepinyl)、苯并氧二氮庚因基(benzoxadiazepinyl)、苯并氧硫氮庚因基(benzoxathiazepinyl)、苯并氧硫庚因基(benzoxathiepinyl)、苯并氧硫辛因基(benzoxathiocinyl)、苯并氧氮庚因基(benzoxazepinyl)、苯并噁嗪基(benzoxazinyl)、苯并氧吖辛因基(benzoxazocinyl)、苯并噁唑啉酮基(benzoxazolinonyl)、苯并噁唑啉基(benzoxazolinyl)、苯并噁唑基(benzoxazolyl)、苄基磺胺基(benzylsultamyl)、苄基磺酰亚胺基(benzylsultimyl)、联吡嗪基(bipyrazinyl)、联吡啶基(bipyridinyl)、咔唑基(carbazolyl)(例如4H-咔唑基)、咔啉基(carbolinyl)(例如β-咔啉基)、色满酮基(chromanonyl)、色满烷基(chromanyl)、色烯基(chromenyl)、肉桂基(cinnolinyl)、香豆素基(coumarinyl)、胞苷基(cytdinyl)、胞嘧啶基(cytosinyl)、十氢异喹啉基(decahydroisoquinolinyl)、十氢喹啉基(decahydroquinolinyl)、二氮杂二环辛烷基(diazabicyclooctyl)、二氮杂环丁二稀基(diazetyl)、二氮丙啶亚硫酰基(diaziridinethionyl)、二氮丙啶酮基(diaziridinonyl)、二吖丙啶基(diaziridinyl)、二氮丙啶基(diazirinyl)、二苯异喹啉基(dibenzisoquinolinyl)、二苯并吖啶基(dibenzoacridinyl)、二苯并咔唑基(dibenzocarbazolyl)、二苯并呋喃基(dibenzofuranyl)、二苯并吩嗪基(dibenzophenazinyl)、二苯并吡喃酮基(dibenzopyranonyl)、二苯并吡喃酮基(dibenzopyronyl)(呫吨酮基/xanthonyl)、二苯并喹噁啉(dibenzoquinoxalinyl)、二苯并硫氮庚因基(dibenzothiazepinyl)、二苯并硫庚因基(dibenzothiepinyl)、二苯并苯硫基(dibenzothiophenyl)、二苯并氧庚因基(dibenzoxepinyl)、二氢氮庚因基(dihydroazepinyl)、二氢氮杂环丁二烯基(dihydroazetyl)、二氢呋喃基(dihydrofuranyl)、二氢呋喃基(dihydrofuryl)、二氢异喹啉基(dihydroisoquinolinyl)、二氢吡喃基(dihydropyranyl)、二氢吡啶基(dihydropyridinyl)、二氢吡啶基(dihydroypyridyl)、二氢喹啉基(dihydroquinolinyl)、二氢噻吩基(dihydrothienyl)、二氢吲哚基(dihydroindolyl)、二氧杂环己基(dioxanyl)、二噁嗪基(dioxazinyl)、二氧吲哚基(dioxindolyl)、二环氧乙烷基(dioxiranyl)、二环氧乙烯基(dioxenyl)、二氧杂环己烯基(dioxinyl)、二氧苯并呋喃基(dioxobenzofuranyl)、二氧杂环戊烯基(dioxolyl)、二氧四氢呋喃基(dioxotetrahydrofuranyl)、二氧硫代吗啉基(dioxothiomorpholinyl)、二噻环己基(dithianyl)、二噻唑基(dithiazolyl)、二噻吩基(dithienyl)、硫杂环己二烯基(dithiinyl)、呋喃基(furanyl)、呋吖基(furazanyl)、呋喃甲酰基(furoyl)、呋喃基(furyl)、鸟嘌呤基(guaninyl)、均哌嗪基(homopiperazinyl)、均哌啶基(homopiperidinyl)、次黄嘌呤基(hypoxanthinyl)、乙内酰脲基(hydantoinyl)、咪唑啉啶基(imidazolidinyl)、咪唑啉基(imidazolinyl)、咪唑基(imidazolyl)、吲唑基(indazolyl)(例如1H-吲唑基)、吲哚烯基(indolenyl)、吲哚啉基(indolinyl)、吲哚嗪基(indolizinyl)、吲哚基(indolyl)(例如,1H-引哚基或3H-吲哚基)、靛红基(isatinyl)、靛红基(isatyl)、异苯并呋喃基(isobenzofuranyl)、异色基(isochromanyl)、异色烯基(isochromenyl)、异吲唑基(isoindazoyl)、异吲哚啉基(isoindolinyl)、异吲哚基(isoindolyl)、异吡唑酰基(isopyrazolonyl)、异吡唑基(isopyrazolyl)、异噁唑啶基(isoxazolidiniyl)、异噁唑基(isoxazolyl)、异喹啉基(isoquinolinyl)、异喹啉基(isoquinolinyl)、异噻唑啶基(isothiazolidinyl)、异噻唑基(isothiazolyl)、吗啉基(morpholinyl)、萘并吲唑基(naphthindazolyl)、萘并吲哚基(naphthindolyl)、二氮杂萘基(naphthiridinyl)、萘并吡喃基(naphthopyranyl)、萘并噻唑基(naphthothiazolyl)、萘并硫酮基(naphthothioxolyl)、萘并三唑基(naphthotriazolyl)、萘并氧代吲哚基(naphthoxindolyl)、萘啶基(naphthyridinyl)、八氢异喹啉基(octahydroisoquinolinyl)、氧杂双环庚烷基(oxabicycloheptyl)、氧杂脲嘧啶(oxauracil)、噁二唑基(oxadiazolyl)、噁嗪基(oxazinyl)、噁吖丙啶基(oxaziridinyl)、噁唑啶基(oxazolidinyl)、噁唑啶酮基(oxazolidonyl)、噁唑啉基(oxazolinyl)、噁唑酮基(oxazolonyl)、噁唑基(oxazolyl)、氧杂环庚烷基(oxepanyl)、氧杂环丁烷酮基(oxetanonyl)、氧杂环丁烷基(oxetanyl)、氧杂环丁烯基(oxetyl)、氧杂环丁烯基(oxtenayl)、氧吲哚基(oxindolyl)、环氧乙烷基(oxiranyl)、氧代苯并异噻唑基(oxobenzoisothiazolyl)、氧代色烯基(oxochromenyl)、氧代异喹啉基(oxoisoquinolinyl)、氧代喹啉基(oxoquinolinyl)、氧代硫杂环戊基(oxothiolanyl)、菲啶基(phenanthridinyl)、菲啉基(phenanthrolinyl)、菲嗪基(phenazinyl)、菲噻嗪基(phenothiazinyl)、菲噻吩基(phenothienyl)(苯并硫代呋喃基/benzothiofuranyl)、菲噁噻基(phenoxathiinyl)、菲噁嗪基(phenoxazinyl)、酞嗪基(phthalazinyl)、酞嗪酮基(phthalazonyl)、酞基(phthalidyl)、苯并吡咯烷酮基(phthalimidinyl)、哌嗪基(piperazinyl)、哌啶基(piperidinyl)、哌啶酮基(piperidonyl)(例如,4-哌啶酮基)、蝶啶基(pteridinyl)、嘌呤基(purinyl)、哌喃基(pyranyl)、吡嗪基(pyrazinyl)、吡唑啶基(pyrazolidinyl)、吡唑啉基(pyrazolinyl)、吡唑并嘧啶基(pyrazolopyrimidinyl)、吡唑基(pyrazolyl)、哒嗪基(pyridazinyl)、吡啶基(pyridinyl)、吡啶并吡嗪基(pyridopyrazinyl)、吡啶并嘧啶基(pyridopyrimidinyl)、吡啶基(pyridyl)、嘧啶基(pyrimidinyl)、嘧啶基(pyrimidyl)、吡喃酮基(pyronyl)、吡咯烷基(pyrrolidinyl)、吡咯烷酮基(pyrrolidonyl)(例如,2-吡咯烷酮基)、吡咯啉基(pyrrolinyl)、吡咯嗪烷基(pyrrolizidinyl)、吡咯基(pyrrolyl)(例如,2H-吡咯基)、吡喃鎓(pyrylium)、喹唑啉基(quinazolinyl)、喹啉基(quinolinyl)、喹嗪基(quinolizinyl)(例如,4H-喹嗪基)、喹喔啉基(quinoxalinyl)、喹啉环基(quinuclidinyl)、硒杂吖嗪基(selenazinyl)、硒杂唑基(selenazolyl)、硒吩基(selenophenyl)、琥珀酰亚胺基(succinimidyl)、环丁砜基(sulfolanyl)、四氢呋喃基(tetrahydrofuranyl)、四氢呋喃基(tetrahydrofuryl)、四氢异喹啉基(tetrahydroisoquinolinyl)、四氢异喹啉基(tetrahydroisoquinolyl)、四氢吡啶基(tetrahydropyridinyl)、四氢吡啶基(tetrahydropyridyl)(哌啶基(piperidyl))、四氢哌喃基(tetrahydropyranyl)、四氢吡喃酮基(tetrahydropyronyl)、四氢喹啉基(tetrahydroquinolinyl)、四氢喹啉基(tetrahydroquinolyl)、四氢噻吩基(tetrahydrothienyl)、四氢苯硫基(tetrahydrothiophenyl)、四嗪基(tetrazinyl)、四唑基(tetrazolyl)、噻二嗪基(thiadiazinyl)(例如,6H-1,2,5-噻二嗪基或2H,6H-1,5,2-二噻二嗪基)、噻二唑基(thiadiazolyl)、噻嗯基(thianthrenyl)、噻环己基(thianyl)、硫茚基(thianaphthenyl)、硫氮庚因基(thiazepinyl)、噻嗪基(thiazinyl)、噻唑烷二酮基(thiazolidinedionyl)、噻唑啶基(thiazolidinyl)、噻唑基(thiazolyl)、噻吩基(thienyl)、硫杂环庚烷基(thiepanyl)、硫杂庚因基(thiepinyl)、硫杂环丁烷基(thietanyl)、硫杂环丁烯基(thietyl)、硫杂环丙基(thiiranyl)、硫杂环辛烷基(thiocanyl)、硫代色满酮基(thiochromanonyl)、硫代色基(thiochromanyl)、硫代色烯基(thiochromenyl)、硫代二嗪基(thiodiazinyl)、噻二唑基(thiodiazolyl)、硫代-3-吲哚酚(thioindoxyl)、硫代吗啉基(thiomorpholinyl)、苯硫基(thiophenyl)、噻喃基(thiopyranyl)、硫代吡喃酮基(thiopyronyl)、硫代三唑基(thiotriazolyl)、硫代脲唑基(thiourazolyl)、硫氧杂环己基(thioxanyl)、硫氧杂环戊烯基(thioxolyl)、胸嘧啶基(thymidinyl)、胸苷基(thyminyl)、三嗪基(triazinyl)、三唑基(triazolyl)、三噻环己基(trithianyl)、脲嗪基(urazinyl)、脲唑基(urazolyl)、尿嘧啶基(uretidinyl)、脲啶基(uretinyl)、脲嘧啶基(uricyl)、脲苷基(uridinyl)、呫吨基(xanthenyl)、黄嘌呤基(xanthinyl)、黄硫酮基(xanthionyl)等,以及其修饰形式(例如,包括一或更多个氧代基和/或氨基)及其盐类。杂环基基团可以是取代的或未取代的。例如,杂环基基团可以被一个或多个取代基(如本文针对芳基所述的基团)取代。
“杂环基二基(heterocyclyldiyl)”指杂环基团(如本文中所述)的二价形式。在一实例中,杂环基二基是通过从杂环基团移除氢而形成。示例性杂环基二基团包括并哌啶基(piperdylidene)、喹啉二基(quinolinediyl)等。杂环基二基团也可为经取代的或未经取代的。例如,杂环基二基团可被一或更多取代基(如本文中关于杂环基所述者)取代。
本文中所使用的“顶”、“底”、“上”、“下”、“之上”、“之下“用于提供结构之间的相对关系。使用这些词不表示或不需要特定结构必须位于装置中的特定位置处。
本领域普通技术人员应认识到,以上所提供的定义并非意在包括不允许的取代模式(例如,被5个不同基团取代的甲基及类似者)。本领域普通技术人员能轻易得知所述不允许的取代模式。除非其中另外指明,否则本文所公开和/或以上所定义的任何官能团可经取代或未经取代。
结论
本文中详细地参照本公开内容的具体实施方案。具体实施方案的示例被描绘于附图中。虽然本公开内容将结合这些具体实施方案来描述,但应理解,不应将本公开内容限制于这些具体实施方案。相反,其应包含落在本公开内容的精神及范围内的置换、变更及等同方案。在以下的描述中,将提出若干特定细节以提供对本公开内容的彻底了解。本公开内容可在缺乏部分或全部这些特定细节的情况下实施。在其它情况下,并未详细说明常规的处理操作,以免不必要地模糊了本公开内容。
虽然为了清楚理解目的已详细描述前述实施方案,但显而易见的是,可在随附权利要求的范围内进行某些改变及修改。可在没有这些具体细节中的一些或全部的情况下实行本文所公开的实施方案。在其他实例中,不再详细描述众所周知的工艺操作,以免不必要地使所公开的实施方案难以理解。此外,尽管结合具体实施方案来描述所公开的实施方案,但应理解,具体实施方案并非意在限制所公开的实施方案。应当注意,有许多实施本实施方案的工艺、系统和装置的替代方式。因此,本实施方案应被认为是说明性而非限制性的,且实施方案不限于本文所给出的细节。

Claims (23)

1.一种用于选择性沉积的方法,其包括:
提供半导体衬底的表面,所述半导体衬底包括第一区域和第二区域,其中所述第一区域包括金属或半导体,所述第二区域包括与所述第一区域不同的材料;
引入含硅抑制剂至所述表面,以提供抑制剂层在所述第一区域上,其中所述含硅抑制剂包括头基团和尾基团,所述头基团包括至少一个Si-H基团,所述尾基团包括有机部分;以及
在包括所述第二区域的非受抑制的表面的至少一部分上沉积第一层。
2.根据权利要求1所述的方法,其中所述第一区域包括所述金属,所述第二区域包括电介质或半导体。
3.根据权利要求2所述的方法,其中所述第一区域包括铜(Cu)、钴(Co)、钨(W)、钌(Ru)、钽(Ta)、钛(Ti)、铪(Hf)、锆(Zr)和/或钼(Mo);和/或其中所述第二区域包括硅(Si)、二氧化硅(SiO2)、掺杂SiO2、硅氮化物、硅碳化物、硅碳氧化物、硅氮氧化物、硅碳氮化物、低κ电介质、锗、硅锗或锗硅。
4.根据权利要求1所述的方法,其中所述第一区域包括所述半导体,所述第二区域包括电介质。
5.根据权利要求4所述的方法,其中所述第一区域包括硅(Si)、锗(Ge)、硅锗(SiGe)、掺杂Si或掺杂Ge;和/或其中所述第二区域包括二氧化硅(SiO2)、掺杂SiO2、硅氮化物、硅碳化物、硅碳氧化物、硅氮氧化物、硅碳氮化物或低κ电介质。
6.根据权利要求1所述的方法,其中所述引入包括约5秒至600秒的配料时间、约50℃至100℃的温度和/或约5Torr至10Torr的压强。
7.根据权利要求1所述的方法,其中所述抑制剂层包括自组装单层或自组装多层。
8.根据权利要求1所述的方法,其中所述头基团包括-SiH3
9.根据权利要求1所述的方法,其中所述含硅抑制剂包括RSiHX1X2;R是所述有机部分;以及X1和X2每一者独立地选自于由下列项所构成的群组:氢(H)、卤素、任选经取代的脂肪族、任选经取代的环脂肪族或任选经取代的芳香族。
10.根据权利要求9所述的方法,其中X1和X2每一者独立地为任选经取代的烷基、任选经取代的烯基、任选经取代的炔基、任选经取代的环烷基或任选经取代的芳基。
11.根据权利要求1所述的方法,其中所述有机部分是或包括任选经取代的脂肪族、任选经取代的杂脂肪族、任选经取代的环脂肪族、任选经取代的杂环脂肪族、任选经取代的芳香族、任选经取代的烷基、任选经取代的烯基、任选经取代的炔基、任选经取代的杂烷基、任选经取代的杂烯基、任选经取代的杂炔基、任选经取代的环烷基、任选经取代的环杂烷基、任选经取代的芳基、或任选经取代的杂环基。
12.根据权利要求11所述的方法,其中所述有机部分是或包括支链烃和/或包括一个或更多卤素取代基;或其中所述有机部分包括在直链、支链或环状基团内的6至20个碳原子。
13.根据权利要求11所述的方法,其中所述有机部分是或包括-X-L-Z,并且其中:
X是共价键、任选经取代的亚烷基、任选经取代的亚烯基、任选经取代的亚炔基、任选经取代的亚烷氧基、任选经取代的杂亚烷基、任选经取代的杂亚烯基、任选经取代的杂亚炔基、任选经取代的亚芳基、任选经取代的亚芳氧基或任选经取代的杂环基二基;
L是共价键、-CR1R2-、-CR1=CR2-、-NR1-、-C(O)-、-C(O)NR1-、-
NR1C(O)-、-C(O)O-、-OC(O)-、-S-或-O-;
Z是氢(H)、任选经取代的烷基、任选经取代的烯基、任选经取代的炔基、任选经取代的杂烷基、任选经取代的杂烯基、任选经取代的杂炔基、任选经取代的芳基或任选经取代的杂环基;及R1和R2每一者独立地为H或任选经取代的烷基。
14.根据权利要求1所述的方法,其中所述沉积包括原子层沉积(ALD)或化学气相沉积(CVD)。
15.根据权利要求1所述的方法,其中所述第一层包括氧化物、金属氧化物、氮化物、金属氮化物、碳化物、金属碳化物或氮氧化物;及其中所述第一层任选地包括铝氧化物、锌氧化物、锆氧化物、铪氧化物、硅氧化物、硅氮氧化物、硅氮化物或钛氧化物。
16.根据权利要求1所述的方法,其中所述引入是在第一温度下进行,所述第一温度低于在所述沉积期间的第二温度。
17.根据权利要求1所述的方法,其还包括在所述引入之前:利用等离子体对所述表面进行预处理。
18.根据权利要求1所述的方法,其还包括在所述沉积之前:在从约250℃至350℃的温度下使所述抑制剂层进行退火。
19.根据权利要求1所述的方法,其还包括在所述沉积之后:
在所述非受抑制的表面或所述第一层的表面的至少一部分上进一步沉积第二层。
20.根据权利要求1所述的方法,其还包括在所述沉积之后或在所述进一步沉积之后:
对所述表面进行后处理,以移除所述抑制剂层;以及
任选地提供图案化的通孔,所述图案化的通孔包括金属,其中所述图案化的通孔被配置成电连接至所述第一区域。
21.一种用于选择性沉积的装置,其包括:
处理室;
衬底保持器,其在所述处理室中;
一个或更多气体入口,其用于使气体流入所述处理室中;
真空源,其用于从所述处理室移除气体;
等离子体产生器,其用于在所述处理室内产生等离子体;以及
一个或更多控制器,其包括机器可读指令,所述机器可读指令用于操作所述一个或更多气体入口、所述真空源及所述等离子体产生器以沉积至半导体衬底上,所述一个或更多控制器的所述机器可读指令包括指令以用于:
(a)任选地致使操作所述等离子体产生器以提供等离子体,从而对所述半导体衬底的表面进行预处理;
(b)致使操作所述一个或更多气体入口,以使含硅抑制剂流入所述处理室中并且在保持在所述衬底保持器中的半导体衬底的第一区域上提供抑制剂层,其中所述第一区域包括金属或半导体,其中所述半导体衬底还包括第二区域,所述第二区域包括与所述第一区域不同的材料,以及其中所述含硅抑制剂包括头基团和尾基团,所述头基团包括至少一个Si-H基团,所述尾基团包括有机部分;
(c)致使操作所述真空源,以从所述处理室移除所述含硅抑制剂的至少一部分;
(d)任选地致使操作所述衬底保持器,以在从约250℃至350℃的温度下使所述抑制剂层进行退火;以及
(e)致使操作所述一个或更多气体入口以使第一前体流动,以在包括所述第二区域的非受抑制的表面的至少一部分上沉积第一层。
22.根据权利要求21所述的装置,其中所述一个或更多控制器的所述机器可读指令包括指令以用于:
(f)在(e)之后,致使操作所述一个或更多气体入口以使第二前体流动,以在所述非受抑制的表面或所述第一层的表面的至少一部分上进一步沉积第二层;以及
(g)在(e)或(f)之后,任选地致使操作所述等离子体产生器以提供等离子体,以对所述半导体衬底的表面进行后处理,以移除所述抑制剂层。
23.一种用于选择性沉积的装置,其包括:
第一处理室,其具有第一衬底保持器;
第二处理室,其具有第二衬底保持器;
传送模块,其被配置称将半导体衬底从所述第一处理室传送至所述第二处理室;
一个或更多气体入口,其用于使气体流入所述第一处理室和所述第二处理室中;
真空源,其用于从所述第一处理室和/或所述第二处理室移除气体;
等离子体产生器,其用于在所述第一处理室和/或所述第二处理室内产生等离子体;以及
一个或更多控制器,其包括机器可读指令,所述机器可读指令用于操作所述一个或更多气体入口、所述真空源及所述等离子体产生器以沉积至所述半导体衬底上,所述一个或更多控制器的所述机器可读指令包括指令以用于:
(a)任选地致使操作所述等离子体产生器以提供等离子体至所述第一处理室,从而对所述半导体衬底的表面进行预处理;
(b)致使操作所述一个或更多气体入口,以使含硅抑制剂流入所述第一处理室中并且在保持在所述衬底保持器中的半导体衬底的第一区域上提供抑制剂层,其中所述第一区域包括金属或半导体,其中所述半导体衬底还包括第二区域,所述第二区域包括与所述第一区域不同的材料,以及其中所述含硅抑制剂包括头基团和尾基团,所述头基团包括至少一个Si-H基团,所述尾基团包括有机部分;
(c)致使操作所述真空源,以从所述第一处理室移除所述含硅抑制剂的至少一部分;
(d)任选地致使操作所述第一衬底保持器,以在从约250℃至350℃的温度下使所述抑制剂层进行退火;
(e)致使操作所述传送模块,以将所述半导体衬底从所述第一处理室传送至所述第二处理室;
(f)任选地致使操作所述第二衬底保持器,以在从约250℃至350℃的温度下使所述抑制剂层进行退火;
(g)致使操作所述一个或更多气体入口以使第一前体流动至所述第二处理室,以在包括所述第二区域的非受抑制的表面的至少一部分上沉积第一层;
(h)任选地致使操作所述一个或更多气体入口以使第二前体流动至所述第二处理室,以在所述非受抑制的表面或所述第一层的表面的至少一部分上进一步沉积第二层;以及
(i)在(g)或(h)之后,任选地致使操作所述等离子体产生器以提供等离子体至所述第二处理室,以对所述半导体衬底的表面进行后处理,以移除所述抑制剂层。
CN202180047011.3A 2020-09-25 2021-09-23 使用硅烷作为抑制剂的金属氧化物的选择性沉积 Pending CN115803472A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063198060P 2020-09-25 2020-09-25
US63/198,060 2020-09-25
PCT/US2021/051639 WO2022066830A1 (en) 2020-09-25 2021-09-23 Selective deposition of metal oxides using silanes as an inhibitor

Publications (1)

Publication Number Publication Date
CN115803472A true CN115803472A (zh) 2023-03-14

Family

ID=80857196

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180047011.3A Pending CN115803472A (zh) 2020-09-25 2021-09-23 使用硅烷作为抑制剂的金属氧化物的选择性沉积

Country Status (5)

Country Link
US (1) US20230386831A1 (zh)
KR (1) KR20230074418A (zh)
CN (1) CN115803472A (zh)
TW (1) TW202229598A (zh)
WO (1) WO2022066830A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10378105B2 (en) * 2016-05-31 2019-08-13 Tokyo Electron Limited Selective deposition with surface treatment
TWI762194B (zh) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
KR20200108242A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체

Also Published As

Publication number Publication date
TW202229598A (zh) 2022-08-01
US20230386831A1 (en) 2023-11-30
WO2022066830A1 (en) 2022-03-31
KR20230074418A (ko) 2023-05-30

Similar Documents

Publication Publication Date Title
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
TW201700786A (zh) 超薄原子層沉積膜精度厚度控制
WO2011033917A1 (ja) 成膜方法および記憶媒体
JP7345546B2 (ja) ルテニウム前駆体を使用したpealdプロセス
US20100219157A1 (en) Film forming apparatus and film forming method
CN109791914B (zh) 用于互连结构的复合介电界面层
US11987878B2 (en) Chemical vapor deposition processes using ruthenium precursor and reducing gas
CN115803472A (zh) 使用硅烷作为抑制剂的金属氧化物的选择性沉积
US20230360922A1 (en) Robust ashable hard mask
TW202400828A (zh) 選擇性沉積方法及化學品輸送系統
WO2014130527A1 (en) Atomic layer deposition of metal alloy films
CN115702474A (zh) 高选择性掺杂硬掩模膜
JP2024500671A (ja) 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク
JP2012172252A (ja) 成膜方法および記憶媒体

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination