CN115774376A - 掩模工艺校正方法和使用其制造光刻掩模的方法 - Google Patents

掩模工艺校正方法和使用其制造光刻掩模的方法 Download PDF

Info

Publication number
CN115774376A
CN115774376A CN202211065588.XA CN202211065588A CN115774376A CN 115774376 A CN115774376 A CN 115774376A CN 202211065588 A CN202211065588 A CN 202211065588A CN 115774376 A CN115774376 A CN 115774376A
Authority
CN
China
Prior art keywords
pattern
mask
vertex
unit cells
mask process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211065588.XA
Other languages
English (en)
Inventor
S·申
金岷娥
崔振
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN115774376A publication Critical patent/CN115774376A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • G03F1/86Inspecting by charged particle beam [CPB]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Abstract

提供制造光刻掩模的方法和准备掩模数据的方法。制造光刻掩模的方法包括对掩模流片(MTO)设计布局执行掩模工艺校正(MPC)。执行MPC可包括识别多个单位单元(各自在MTO设计布局中迭代并且包括多个曲线图案),并且对多个单位单元中的至少一个执行基于模型的MPC。这些方法还可包括基于执行了MPC的MTO设计布局来执行电子束曝光。对多个单位单元中的至少一个执行基于模型的MPC可基于多个曲线图案的纵横比、大小、弯曲边缘的曲率、密度和占空比中的至少一个。

Description

掩模工艺校正方法和使用其制造光刻掩模的方法
相关申请的交叉引用
本申请要求2021年9月6日提交的韩国专利申请No.10-2021-0118545的优先权,其公开内容以引用方式并入本文。
技术领域
本发明构思涉及一种掩模工艺校正(MPC)方法和使用其制造光刻掩模的方法。
背景技术
半导体装置制造工艺中的光刻是通过利用例如光束照射施加在基板上的感光膜来形成光刻掩模中先前形成的电路图案的工艺。最近,电路布局的图案之间的线宽已大大减小。为了适应这些减小,曝露于极紫外(EUV)光和电子束的重要性已增加。另外,在制造光刻掩模的工艺中,可能存在由于光刻掩模的相邻图案之间的光学干涉效应而引起的误差,以及光学和化学系统误差。因此,正在研究校正误差的各种方法。
发明内容
本发明构思提供了一种计算效率高从而增加MPC的速度的掩模工艺校正(MPC)方法以及使用其制造光刻掩模的方法。
根据本发明构思的一方面,提供了一种制造光刻掩模的方法。该方法包括对用于制造光刻掩模的掩模流片(MTO)设计布局执行掩模工艺校正(MPC),然后通过基于执行了MPC的MTO设计布局执行电子束曝光来制造光刻掩模。在这些实施例中的一些中,执行MPC包括识别多个单位单元(各自在MTO设计布局中迭代并且包括多个曲线图案),并且对多个单位单元中的任一个执行基于模型的MPC。
根据本发明构思的另一方面,提供了一种制造光刻掩模的方法。该方法包括对MTO设计布局执行MPC,然后通过基于执行了MPC的MTO设计布局执行电子束曝光来制造光刻掩模。执行MPC可包括识别多个单位单元(各自在MTO设计布局中迭代并且包括曲线图案、凸图案、凹图案和线性图案),并且对多个单位单元中的任一个执行基于模型的MPC。
根据本发明构思的另一方面,提供了一种准备掩模数据的方法。该方法包括识别多个单位单元,每个单位单元在使用反向光刻技术(ILT)生成的MTO设计布局中迭代,并且包括具有椭圆弯曲边缘的多个曲线图案。该方法还可包括使用多个弯曲图案的纵横比、尺寸、弯曲边缘的曲率、密度和占空比中的至少一个作为因子来对多个单位单元中的任一个执行基于模型的MPC。
附图说明
本发明构思的实施例将从以下结合附图进行的详细描述更清楚地理解,在附图中:
图1是示出根据实施例的制造光刻掩模的方法的流程图;
图2是示出根据示例实施例的掩模工艺校正(MPC)的流程图;
图3是示出根据示例实施例的掩模流片(tape out)(MTO)设计布局的平面图;
图4是示出图2所示的单位单元的局部平面图;
图5和图6是示出包括在图3的单位单元中的任一个曲线图案和围绕其的每个曲线图案的部分的局部平面图;
图7是示出根据示例实施例的制造光刻掩模的方法的流程图;
图8是示出根据示例实施例的包括在掩模数据准备中的MPC的流程图;
图9是示出根据另一示例实施例的MPC方法的流程图。
具体实施方式
以下,参照附图详细描述本发明构思的实施例。相同的标号用于附图中的相同组件,并且省略其冗余描述。
图1是示出根据实施例的制造光刻掩模的方法的流程图。参照图1,可在操作P10中提供设计布局。设计布局可包括用于制造半导体装置的各种几何图案。设计布局的图案可由包括具有预设宽度并水平延伸的线图案和具有弯曲边缘的曲线图案的各种几何图案组成。设计布局的各种几何图案可对应于实现于基板(例如,半导体晶圆)上以制造半导体装置的各种组件的金属图案、氧化物图案、半导体图案等。组件可包括例如有源区域、栅电极、金属线或层间互连件的过孔、结合焊盘等。组件可形成在半导体基板上或者形成在沉积在半导体基板上的各种材料层上。
设计布局可存储为包括关于几何图案的信息的一个或更多个数据文件。例如,设计布局可存储为计算机可读的任何合适的数据格式,例如图形数据系统II(GDSII)数据格式、Caltech中间形式(CIF)数据格式或开放艺术品系统交换标准(OASIS)数据格式。
可在操作P20中执行光学邻近校正(OPC)。随着集成电路的临界尺寸的大小减小并且其密度增加,电路图案或物理设计的临界尺寸接近相关技术的光刻技术中使用的曝光设备的分辨率极限。开发了OPC工艺以将图案转移到基板上,图案包括小于光刻工艺中使用的光的波长的特征。
随着图案小型化(例如,微粒化),在实现图案的曝光工艺期间由于相邻图案之间的影响而发生光学邻近效应(OPE)。OPC是通过校正掩模上的图案布局来减小OPE的方法。可通过改变图案的边缘片段的位置或通过向图案添加多边形来执行图案布局的校正。
更详细地,OPC可以是改变物理设计(即,设计布局)以补偿由诸如邻近特征对特征的光学衍射和光学相互作用的效应导致的失真的工艺。OPC包括在形成标线时执行的所有曝光分辨率增强技术。开发了OPC以转移具有小于光刻工艺中使用的光的波长的特征的图案。
例如,OPC可包括向掩模图案添加亚分辨率光刻特征以减小原始设计布局与实际转移到基板(例如,硅晶圆)上的电路图案之间的差异。亚分辨率光刻特征可通过与设计布局中的图案相互作用来补偿邻近效应,因此,可改进转移到基板上的电路图案。
用于改进图案转移的一个示例是亚分辨率辅助特征(SRAF)。改进图案转移的另一示例被称为"衬线(serifs)"。衬线是可被放置在图案的内角或外角上以锐化转移到基板上的图案的角的特征。针对SRAF的工艺所需的精度可小于旨在印刷在基板上的设计图案的精度。
反向光刻技术(ILT)是一种OPC技术。ILT是根据旨在形成在基板(例如,硅晶圆)上的图案直接计算形成在标线上的图案的工艺。ILT可包括使用要实现于基板上的图案作为输入来反向模拟光刻工艺。根据ILT推导的标线图案可由纯曲线(即,完美非直线)组成,并且可包括圆形图案、基本上圆形图案、环形图案、基本上环状图案、椭圆图案和/或基本上椭圆图案。这里,ILT、OPC、源掩模优化(SMO)和计算光刻是可互换使用的术语。
可重复地执行OPC,并且随着OPC重复,使用修改的图案形成在基板上的图案可更接近初始设计布局。OPC可基于预设成本函数来结束,或者可在迭代次数达到目标次数时结束。
在操作P30中,掩模流片(MTO)设计布局的数据可被发送至掩模制造团队。根据示例实施例,MTO设计布局指示在电子和光子学设计中发送以用于流片之前集成电路或印刷电路板的设计过程的最终结果。即,MTO设计布局可指示完成OPC的设计布局。根据一些实施例,MTO设计布局的数据可具有电子设计自动化(EDA)软件等中使用的图形数据格式。根据一些实施例,MTO设计布局的数据可具有诸如GDS2、CIF或OASIS的数据格式。
随后,可在操作P40中对MTO设计布局的数据执行掩模数据准备(MDP)。根据一些实施例,MDP可包括例如破碎(即,格式转换)、用于机械读取的条形码增强、用于检查、作业卡片组(job deck)等的标准掩模图案、以及自动和手动验证。破碎可指示针对每个区域划分MTO设计布局的数据以将数据转换为用于电子束曝光设备的格式。根据一些实施例,破碎可改进最终掩模的质量。可执行破碎以用于校正掩模工艺。破碎可包括诸如缩放、数据旋转、图案反射、颜色反转等的数据操纵。并且,根据一些实施例,作业卡片组意指生成与一系列命令有关的文本文件,例如多个掩模文件的布置信息、参考剂量和曝光速度或方法。
MDP可包括掩模规则检查。掩模规则检查是检查修改的设计布局是否符合掩模制造规则的处理,以便检查由MDP执行的设计布局具有足够的工艺余量以防止由于公差引起的缺陷。这里,掩模制造规则可包括对某些几何形状的限制(例如,对复杂到无法进行掩模制造的图案的限制)、对图案之间的空间的限制、尺寸限制和对连接的限制等。
根据一些实施例,MDP可包括掩模工艺校正(MPC),其是对系统误差的数据校正工艺。系统误差可包括在制造光刻掩模的一系列工艺(例如,电子束写入、显影、蚀刻和烘干)期间生成的误差。
参照图2至图5更详细地描述根据示例实施例的MPC的一些方面。在执行MPC之后,还可执行MPC验证。MPC验证是检查用于MPC的掩模工艺模型的校正是否正确。在一个示例中,在MPC验证中,可通过对MPC前后的掩模数据执行异或运算来检查图案校正是否被部分地省略或者图案被过度校正。在另一示例中,在MPC验证中,也可通过使用掩模工艺模型将掩模图案的形状改变为二维轮廓,并且通过将二维轮廓与根据执行MPC之前的数据(即,MTO设计布局的数据)的形状进行比较,来精确地验证MPC的准确度。
在执行MPC验证之后,可确定MPC的准确度是否在可接受范围内,并且当MPC的准确度在可接受范围内时,可终止MPC。然而,当MPC的准确度在可接受范围之外时,可修改掩模工艺模型。掩模工艺模型的修改可包括改变掩模工艺模型的制法(recipe)数据。
根据一些实施例,可在掩模基板曝光之前执行数据处理。根据一些实施例,数据处理是对掩模数据的一种预处理,并且可包括掩模数据的语法检查、曝光时间的预测等。根据一些实施例,在执行MDP之后并且在执行曝光之前,掩模数据可被转换为像素数据。像素数据可包括直接用于实际曝光的数据,并且可包括关于要曝光的形状的数据以及关于指派给每个形状的剂量的数据。根据一些实施例,关于要曝光的形状的数据可包括作为矢量数据的形状数据通过光栅化等转换的位图数据。
在掩模数据被转换为像素数据之后,可使用像素数据执行电子束写入(即,曝光),如操作P50所示。这里,电子束写入可意指基于像素数据利用电子束来照射掩模基板(即,原始掩模板)。原始掩模板可包括由诸如玻璃或熔融石英的材料形成的基板以及在基板上由铬形成的不透明薄膜。在利用具有高抗蚀刻性的抗蚀剂膜涂覆不透明薄膜之后,可利用电子束照射抗蚀剂膜以将掩模图案转移到抗蚀剂膜上。
电子束写入可包括例如可变形状光束(VSB)曝光或使用多光束掩模写入器(MBMW)的灰色曝光。在电子束写入之后,可执行诸如显影工艺、蚀刻工艺和清洁工艺的后续工艺以制造光刻掩模。
显影工艺是去除掩模基板上曝露(或未曝露)于电子束的抗蚀剂的工艺。去除曝露于电子束的部分被称为正处理,去除未曝露于电子束的部分被称为负处理。在显影工艺之后的蚀刻工艺中,未被抗蚀剂膜覆盖的薄膜可被去除,然后可去除抗蚀剂膜。在抗蚀剂膜被去除之后,可执行清洁工艺。
制造光刻掩模的工艺还可包括测量工艺、缺陷检查工艺、缺陷修复工艺和表膜施加工艺。当通过测量工艺和缺陷检查工艺确认不存在污染物或化学污渍时,用于保护光刻掩模的表膜可被施加到掩模的表面上。
图2是示出根据示例实施例的MPC的流程图。图3是示出根据示例实施例的MTO设计布局MDL的平面图。图4是示出图2所示的单位单元UC的局部平面图。参照图2至图4,在操作P41中,可识别MTO设计布局MDL中的多个单位单元UC。
MTO设计布局MDL可对应于可通过一次扫描转移的整个掩模图案。通常,极紫外(EUV)曝光工艺可继续进行下轴投影(例如,4:1下轴投影)。因此,形成在构图装置上的图案(例如,掩模图案)可减小至四分之一大小并被转移到晶圆。这里,四分之一是长度的缩小比并且可对应于面积的约1/16。根据一些实施例,MTO设计布局MDL可具有x轴上约26mm和y轴上约33mm的大小,但不限于此。
MTO设计布局MDL可在芯片区域CHR之间包括划道SL。划道SL可介于芯片区域CHR之间以将主芯片彼此隔离。划道SL可以是用于隔离形成在芯片区域CHR中的在划切工艺中待被分成单独的半导体芯片/管芯的集成电路的隔离区域。
根据一些实施例,芯片区域CHR可用于形成存储器装置。存储器装置可包括例如非易失性存储器装置。存储器装置可包括非易失性NAND型闪速存储器装置。存储器装置可包括相变随机存取存储器(PRAM)、磁性随机存取存储器(MRAM)、电阻随机存取存储器(ReRAM)、铁电随机存取存储器(FRAM)和NOR闪速存储器。在另一示例中,存储器装置可包括诸如动态随机存取存储器(DRAM)和静态随机存取存储器(SRAM)的易失性存储器装置,易失性存储器装置在断电时丢失数据。
芯片区域CHR被示出为具有近似正方形剖面,但不限于此。例如,芯片区域CHR可用于制造驱动器集成电路(IC)芯片;因此,每个驱动器IC芯片的一侧可比与其垂直的另一侧更长。
根据一些实施例,可在MTO设计布局MDL上进一步形成对准标记AGM和叠加标记OVM。根据一些实施例,对准标记AGM和叠加标记OVM被示出为形成在划道SL上,但不限于此。例如,对准标记AGM和叠加标记OVM可形成在芯片区域CHR中。
对准标记AGM可包括用于光刻以准确地设定曝光区域的图案。根据一些实施例,对准标记AGM可被放置在与MTO设计布局MDL的中心部分相邻的位置,但不限于此。参照图3,一个MTO设计布局MDL被示出为包括一个对准标记AGM,但不限于此。例如,两个或更多个对准标记AGM可在MTO设计布局MDL中。另外,在MTO设计布局MDL中可省略对准标记AGM。
根据一些实施例,叠加标记OVM可形成用于测量在先前工艺中形成的层与在当前工艺中形成的层之间的层间一致性的图案。这里,层间一致性可包括相邻层的对准以及是否出现电路缺陷(例如,电路是否短路或开路)。叠加标记OVM可按照比对准标记AGM更高的密度放置。即,包括在MTO设计布局MDL中的叠加标记OVM的数量可大于对准标记AGM的数量。
可在MTO设计布局MDL上另外提供具有各种功能的标记。例如,用于测试完成的半导体装置的特征的标记、用于在CMP(化学机械抛光)工艺之后测量最上层的厚度的标记、用于测量最外层的厚度的标记、用于使用光学方法测量临界尺寸或内部厚度的标记等可另外提供给MTO设计布局MDL。
芯片区域CHP中的每一个可包括多个单位单元UC。多个单位单元UC可彼此基本上相同。即,单位单元UC可重复地放置在芯片区域CHR中。单位单元UC可占据芯片区域CHR中除了放置核心周边电路的部分区域之外剩余的面积。在一些实施例中,单位单元UC中的每一个可包括多个曲线图案CP。多个曲线图案CP可在单位单元UC中排列以形成行和列。单位单元UC可在沿±X方向和±Y方向延伸的平面上。多个曲线图案CP中的每一个可具有弯曲边缘CE。多个曲线图案CP中的每一个的平面形状可为椭圆形或圆形。另外,例如,多个曲线图案CP中的每一个可包括DRAM装置的下电极、用于支撑下电极的支撑图案的开口、用于形成竖直NAND闪速存储器的沟道结构的沟道孔以及各种集成电路的接触孔的过孔中的任一个。
单位单元UC中的每一个的大小可基于在执行MPC时知道的周围环境的面积来确定。单位单元UC中的每一个的大小可基于在光刻掩模制造工艺中可能出现的系统误差影响的范围来确定。例如,单位单元UC中的每一个的大小可基于在执行MPC时内核的大小来确定。然后,参照图2和图4,可在P43中针对任一个单位单元执行基于模型的MPC。
MPC可指示MTO设计布局MDL的数据的校正,包括掩模工艺模型的校正、线宽的调节、图案布置的精度的调节等。即,MPC可补充OPC。例如,尽管执行OPC,MPC可减小或去除具有高图案密度的区域中出现的临界线宽误差。
MPC通常使用电子散射模型来表示电子束曝光,并且使用工艺模型来表示显影和蚀刻工艺效果。模型可用于迭代地模拟布局特征的边缘位置并移动边缘的每个片段以使完成的标线的特征的边缘的位置准确度最大化。为了同时使边缘的每个片段的位置准确度最大化,可与边缘的每个片段的移动结合使用可选的剂量指派。
用于执行基于模型的MPC的因子可包括多个曲线图案CP的纵横比、大小、弯曲边缘CE的曲率、密度和占空比中的至少一个。这里,这些因子可用于估算数据实现以生成经验模型。这里,纵横比意指曲线图案CP的长轴与短轴之比,大小意指曲线图案CP的特征长度(例如,长轴的长度或短轴的长度),密度意指特定区域中的曝光部分的面积的比率,占空比意指在间距(曲线图案CP迭代的空间周期)内图案所占据的X方向长度和Y方向长度之比。
图5和图6是示出包括在图3的单位单元中的曲线图案CP中的任一个和围绕该曲线图案CP的每个曲线图案CP的部分的局部平面图。参照图2、图3和图5,在操作P45中,为多个单位单元UC中的任一个计算的偏置可有利地应用于单位单元UC中的每一个。
多个曲线图案CP中的每一个可具有多个顶点v1、v2、v3和v4以及将顶点v1、v2、v3和v4彼此连接的弯曲边缘CE。多个顶点v1、v2、v3和v4可以是多个曲线图案CP中的每一个的端点。例如,顶点v1可以是+Y方向上的端点,顶点v2可以是-Y方向上的端点,顶点v3可以是+X方向上的端点,顶点v4可以是-X方向上的端点。
为了方便描述,图5仅示出四个顶点v1、v2、v3和v4,它们是±X方向上的端点和±Y方向上的端点,但是许多(例如,超过约100个)顶点可沿着弯曲边缘CE放置。在一个示例中,弯曲边缘CE可各自是椭圆的一部分或圆的一部分。在另一示例中,弯曲边缘CE可各自是具有特定曲率的曲线。当弯曲边缘CE可各自具有椭圆形状时,顶点v1和v2可在弯曲边缘CE中的每一个的长轴上,顶点v3和v4可在弯曲边缘CE中的每一个的短轴上。
可通过将在操作P43中计算的偏置应用于多个顶点v1、v2、v3和v4中的每一个来获得多个偏置顶点bv1、bv2、bv3和bv4。如上所述,多个顶点v1、v2、v3和v4的偏置可以是顶点v1、v2、v3和v4移动以校正由曝光工艺、掩模显影工艺和掩模蚀刻工艺产生的系统误差的量。偏置顶点bv1可以是顶点v1的校正位置,偏置顶点bv2可以是顶点v2的校正位置,偏置顶点bv3可以是顶点v3的校正位置,偏置顶点bv4可以是顶点v4的校正位置。
图3至图6示出对单位单元的基于模型的MPC应用于四个顶点v1、v2、v3和v4(椭圆曲线图案CP在±X方向和±Y方向上的端点),但顶点v1、v2、v3和v4的数量可根据曲线图案CP的形状而不同地改变。本领域技术人员基于本文中进行的描述将能够容易地得出包括任何形状和根据任何形状确定的顶点数量的图案的MPC。随后,参照图2和图6,在操作P47中,可获得基于多个偏置顶点bv1、bv2、bv3和bv4偏置的偏置弯曲边缘BCE。
在一个示例中,可在执行MPC之前基于弯曲边缘CE的曲率来确定偏置弯曲边缘BCE。更详细地,将顶点v1连接到顶点v3的弯曲边缘CE的曲率可等于将偏置顶点bv1连接到偏置顶点bv3的偏置弯曲边缘BCE的曲率,将顶点v3连接到顶点v2的弯曲边缘CE的曲率可等于将偏置顶点bv3连接到偏置顶点bv2的偏置弯曲边缘BCE的曲率,将顶点v2连接到顶点v4的弯曲边缘CE的曲率可等于将偏置顶点bv2连接到偏置顶点bv4的偏置弯曲边缘BCE的曲率,将顶点v4连接到顶点v1的弯曲边缘CE的曲率可等于将偏置顶点bv4连接到偏置顶点bv1的偏置弯曲边缘BCE的曲率。
在另一示例中,构成偏置弯曲边缘BCE的顶点bv1、bv2、bv3和bv4可在原始弯曲边缘CE的切线方向上相对于原始弯曲边缘CE的顶点v1、v2、v3和v4偏移。在相关技术的MPC中,以片段为单位计算图案边缘以识别对应边缘周围的图案密度,因此,处理时间(即,周转时间)与内核的大小和图案边缘的数量成比例。在这种情况下,当由ILT得到的图案(例如,接触件)具有弯曲边缘(例如,椭圆形)时,与具有4个顶点的矩形图案相比顶点的数量增加约20倍或更多。因此,与通常所需的时间相比,MPC偏置计算需要超过20倍的时间。根据相关技术的实验示例,执行MPC可花费几天时间;因此,将基于模型的MPC应用于根据ILT的设计布局实际上不可能。
根据示例实施例,通过将在针对多个单位单元UC中的任一个的基于模型的MPC中计算的偏置应用于多个单位单元UC中的每一个的曲线图案CP的顶点v1、v2、v3和v4来减少MPC的处理时间。根据实验示例,确认可获得与相关技术的MPC准确度等同的MPC准确度,计算时间是针对整个MTO设计布局MDL执行MPC时的时间的1/20。
图7是示出根据示例实施例的制造光刻掩模的方法的流程图。由于图7的操作P10、操作P20和操作P50与参照图1描述的操作基本上相同,所以省略其冗余描述。参照图4和图7,在操作P30'中,可与MTO设计布局一起进一步发送单位单元UC的数据。根据示例实施例,单位单元UC中的每一个可以是MTO设计布局的设计单位。即,MTO设计布局可包括多个重复排列的单位单元UC。例如,单位单元UC可各自包括在标准单元中。
图8是示出根据示例实施例的MDP中所包括的MPC的流程图。参照图8描述的MPC可包括在图7的操作P40'中的MDP中。参照图4和图8,在操作P42中,可针对单位单元UC确定基于模型的OPC偏置。与在上面参照图2描述的MPC期间对包括在MTO设计布局中的多个单位单元UC中的任一个执行的基于模型的MPC不同,根据本实施例可在操作P30'中对与MTO设计布局分开提供的单位单元UC执行基于模型的MPC。对与MTO设计布局分开提供的单位单元UC执行基于模型的MPC的详细方面与参照图2至图4描述的方面基本上相同,因此,省略其冗余描述。
根据示例实施例,在操作P45中,可基于操作P42中确定的偏置来执行基于模型的MPC,并且可在操作P47中获得偏置弯曲边缘。操作P45和操作P47的处理与参照图2至图6描述的处理基本上相同,因此,省略其冗余描述。
图9是示出根据其它示例实施例的MPC方法的流程图。参照图9描述的MPC方法可包括在图1的操作P40中的MDP中,或者可包括在图7的操作P40'中的MDP中。参照图9,可在操作P141中识别包括在MTO设计布局中的单位单元。
根据示例实施例,与上述示例不同,MTO设计布局可用于形成逻辑集成装置。逻辑集成装置包括微处理器单元(MPU)、中央处理单元(CPU)、图形处理单元(GPU)、微控制器单元(MCU)、数字信号处理器(DSP)、系统芯片(SOC)等。根据示例实施例,单位单元可在MTO设计布局中重复地排列,并且单位单元可包括曲线图案、凸图案、凹图案和线性图案。
随后,在操作P143中,可通过对多个单位单元中的任一个执行基于模型的MPC来生成曲线图案、凸图案、凹图案和线性图案的拐点的偏置表。基于模型的MPC的因子可包括曲率、占空比和大小。另外,在操作P143中生成的偏置表可在操作P145中应用于多个单位单元中的每一个。应用在操作P143中生成的偏置表可包括根据偏置表来确定多个单位单元中的任一个的曲线图案、凸图案、凹图案和线性图案的拐点的偏置。
然后,在操作P147中,可基于多个偏置拐点中的每一个、曲线图案的初始曲率、凸图案的初始曲率、凹图案的初始曲率和线性图案的初始曲率来确定偏置曲线图案、偏置凸图案、偏置凹图案和偏置线性图案。
尽管参考本发明构思的实施例具体地示出和描述了本发明构思,但是将理解,在不脱离所附权利要求的精神和范围的情况下,可对其进行各种形式和细节上的改变。

Claims (20)

1.一种制造光刻掩模的方法,包括:
对掩模流片设计布局执行掩模工艺校正,所述掩模工艺校正包括:(i)识别多个单位单元,其各自在所述掩模流片设计布局中迭代并且包括多个曲线图案,以及(ii)对所述多个单位单元中的至少一个执行基于模型的掩模工艺校正。
2.根据权利要求1所述的方法,还包括:通过基于执行了所述掩模工艺校正的掩模流片设计布局执行电子束曝光来制造所述光刻掩模。
3.根据权利要求1所述的方法,其中,基于所述多个曲线图案的纵横比、大小、弯曲边缘的曲率、密度和占空比中的至少一个对所述多个单位单元中的至少一个执行基于模型的掩模工艺校正。
4.根据权利要求2所述的方法,其中,所述多个曲线图案排列以构成行和列,并且所述多个曲线图案中的每一个的弯曲边缘具有椭圆形状;并且其中,执行掩模工艺校正还包括将基于所述多个单位单元中的任一个计算的所述多个曲线图案的偏置应用于所述多个单位单元中的每一个。
5.根据权利要求4所述的方法,其中,所述多个曲线图案中的每一个包括所述弯曲边缘的长轴上的第一顶点和第二顶点以及所述弯曲边缘的短轴上的第三顶点和第四顶点;并且其中,应用偏置包括将偏置依次应用于所述第一顶点至所述第四顶点以确定第一偏置顶点至第四偏置顶点,所述第一偏置顶点至所述第四偏置顶点分别是所述第一顶点至所述第四顶点的校正位置。
6.根据权利要求5所述的方法,其中,所述执行掩模工艺校正还包括通过基于所述第一偏置顶点至所述第四偏置顶点修改所述多个曲线图案来确定偏置弯曲边缘。
7.根据权利要求6所述的方法,其中,在执行掩模工艺校正之前,基于所述多个曲线图案的曲率确定所述偏置弯曲边缘。
8.根据权利要求7所述的方法,其中,所述第一顶点和所述第三顶点之间的弯曲边缘的部分的曲率等于所述第一偏置顶点和所述第三偏置顶点之间的偏置弯曲边缘的部分的曲率。
9.根据权利要求1所述的方法,其中,所述掩模流片设计布局是通过反向光刻技术生成的。
10.根据权利要求1所述的方法,其中,所述多个曲线图案对应于形成在晶圆上的接触件。
11.一种制造光刻掩模的方法,包括:
对掩模流片设计布局执行掩模工艺校正,所述掩模工艺校正包括:(i)识别多个单位单元,其各自在所述掩模流片设计布局中迭代并且包括曲线图案、凸图案、凹图案和线性图案,以及(ii)对所述多个单位单元中的任一个执行基于模型的掩模工艺校正。
12.根据权利要求11所述的方法,其中,所述基于模型的掩模工艺校正的因子包括所述曲线图案、所述凸图案、所述凹图案和所述线性图案中的每一个的曲率、占空比和大小。
13.根据权利要求11所述的方法,其中,在所述执行掩模工艺校正期间,基于对所述多个单位单元中的任一个的基于模型的掩模工艺校正来生成所述曲线图案、所述凸图案、所述凹图案和所述线性图案中的每一个的拐点的偏置表。
14.根据权利要求13所述的方法,其中,所述执行掩模工艺校正还包括通过将所述偏置表应用于所述多个单位单元中的每一个的曲线图案、凸图案、凹图案和线性图案中的每一个的拐点来确定偏置拐点。
15.根据权利要求14所述的方法,其中,所述执行掩模工艺校正还包括基于所述偏置拐点以及所述曲线图案、所述凸图案、所述凹图案和所述线性图案的曲率来校正所述多个单位单元中的每一个的曲线图案、凸图案、凹图案和线性线图案。
16.一种准备掩模数据的方法,包括:
识别多个单位单元,其各自在通过反向光刻技术生成的掩模流片设计布局中迭代,并且包括具有椭圆弯曲边缘的多个曲线图案;以及
使用所述多个弯曲图案的纵横比、大小、所述弯曲边缘的曲率、密度和占空比中的至少一个作为因子对所述多个单位单元中的任一个执行基于模型的掩模工艺校正。
17.根据权利要求16所述的方法,其中,执行所述基于模型的掩模工艺校正还包括将基于所述多个单位单元中的任一个计算的所述多个曲线图案的偏置应用于所述多个单位单元中的每一个。
18.根据权利要求17所述的方法,其中,所述多个曲线图案中的每一个包括长轴上的第一顶点和第二顶点和短轴上的第三顶点和第四顶点,并且在将所述偏置应用于所述多个单位单元中的每一个时,所述偏置被依次施加到所述第一顶点至所述第四顶点以确定第一偏置顶点至第四偏置顶点,所述第一偏置顶点至所述第四偏置顶点分别是所述第一顶点至所述第四顶点的校正位置。
19.根据权利要求18所述的方法,其中,执行所述基于模型的掩模工艺校正还包括通过基于所述第一偏置顶点至所述第四偏置顶点修改所述多个曲线图案来确定偏置弯曲边缘。
20.根据权利要求19所述的方法,其中,执行所述基于模型的掩模工艺校正还包括通过基于所述第一偏置顶点至所述第四偏置顶点和所述多个曲线图案的曲率修改所述多个曲线图案来确定所述偏置弯曲边缘。
CN202211065588.XA 2021-09-06 2022-09-01 掩模工艺校正方法和使用其制造光刻掩模的方法 Pending CN115774376A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020210118545A KR20230035943A (ko) 2021-09-06 2021-09-06 MPC(Mask Process Correction) 방법 및 이를 이용한 리소그래피 마스크 제조 방법
KR10-2021-0118545 2021-09-06

Publications (1)

Publication Number Publication Date
CN115774376A true CN115774376A (zh) 2023-03-10

Family

ID=85385833

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211065588.XA Pending CN115774376A (zh) 2021-09-06 2022-09-01 掩模工艺校正方法和使用其制造光刻掩模的方法

Country Status (3)

Country Link
US (1) US20230074316A1 (zh)
KR (1) KR20230035943A (zh)
CN (1) CN115774376A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117666276A (zh) * 2024-01-31 2024-03-08 全智芯(上海)技术有限公司 用于掩模工艺校正的方法、电子设备及存储介质

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117666276A (zh) * 2024-01-31 2024-03-08 全智芯(上海)技术有限公司 用于掩模工艺校正的方法、电子设备及存储介质

Also Published As

Publication number Publication date
KR20230035943A (ko) 2023-03-14
US20230074316A1 (en) 2023-03-09

Similar Documents

Publication Publication Date Title
US7458056B2 (en) Effective proximity effect correction methodology
US10223494B2 (en) Semiconductor device manufacturing method and mask manufacturing method
TWI327685B (en) Optical proximity correction using chamfers and rounding at corners
US8319962B2 (en) Mask making decision for manufacturing (DFM) on mask quality control
US11054736B2 (en) Extreme ultraviolet (EUV) mask for lithography and associated methods
KR20170047101A (ko) Opc 이용한 마스크 제조방법 및 반도체 소자 제조방법
CN110943022B (zh) 半导体装置的制造方法
US20040248016A1 (en) Method of designing a reticle and forming a semiconductor device therewith
US20090281778A1 (en) Method and system for identifying weak points in an integrated circuit design
US20240143887A1 (en) Method and system for reducing layout distortion due to exposure non-uniformity
CN115774376A (zh) 掩模工艺校正方法和使用其制造光刻掩模的方法
US8687170B2 (en) Asymmetric complementary dipole illuminator
US20090276735A1 (en) System and Method of Correcting Errors in SEM-Measurements
JP2009020393A (ja) マスクパターン形成方法
US20170277044A1 (en) Patterning method and patterning apparatus for fabricating a resist pattern
CN110221514B (zh) 光学邻近校正方法及掩膜版的制作方法
TW202326285A (zh) 光學鄰近校正方法、光罩製造方法和半導體晶片製造方法
CN113050362B (zh) 光学邻近修正方法及掩膜版
US20230168590A1 (en) Methods for optical proximity correction and methods of manufacturing semiconductor devices having the same
US20220155674A1 (en) Optical proximity correction method and mask manufacturing method of lithography system
KR20230082519A (ko) 광학 근접 보정 방법 및 이를 포함하는 반도체 소자의 제조 방법
Martin et al. Effect of reticle manufacturing quality on full chip optical proximity correction

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication