CN115586714B - Overlay alignment pattern and measuring method - Google Patents

Overlay alignment pattern and measuring method Download PDF

Info

Publication number
CN115586714B
CN115586714B CN202211593501.6A CN202211593501A CN115586714B CN 115586714 B CN115586714 B CN 115586714B CN 202211593501 A CN202211593501 A CN 202211593501A CN 115586714 B CN115586714 B CN 115586714B
Authority
CN
China
Prior art keywords
alignment
alignment pattern
sub
pattern
patterns
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202211593501.6A
Other languages
Chinese (zh)
Other versions
CN115586714A (en
Inventor
黄小迪
黄浩玮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hefei Xinjing Integrated Circuit Co Ltd
Original Assignee
Hefei Xinjing Integrated Circuit Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hefei Xinjing Integrated Circuit Co Ltd filed Critical Hefei Xinjing Integrated Circuit Co Ltd
Priority to CN202211593501.6A priority Critical patent/CN115586714B/en
Publication of CN115586714A publication Critical patent/CN115586714A/en
Application granted granted Critical
Publication of CN115586714B publication Critical patent/CN115586714B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Multimedia (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

The utility model relates to an overlay alignment pattern and a measuring method, wherein the overlay alignment pattern comprises a basic alignment pattern, a first alignment pattern and a second alignment pattern; the basic alignment pattern is positioned in a preset area of the substrate and comprises a plurality of first basic sub-alignment patterns extending along a first direction and a plurality of second basic sub-alignment patterns extending along a second direction; the first alignment pattern is positioned on the epitaxial layer on the substrate and comprises a plurality of strip patterns, and the orthographic projection of the strip patterns in a preset area covers a first basic sub-alignment pattern or a second basic sub-alignment pattern; the second alignment pattern is positioned on the epitaxial layer and comprises a plurality of alignment patterns, and the orthographic projection of the alignment patterns in the preset area surrounds the first basic sub-alignment pattern or the second basic sub-alignment pattern; the alignment method and the alignment device can at least improve the contrast of the alignment pattern during alignment, obtain the alignment precision after the epitaxial layer grows, and therefore improve the alignment precision and the product yield of the device.

Description

Overlay alignment pattern and measuring method
Technical Field
The present disclosure relates to the field of integrated circuit design and manufacturing technologies, and in particular, to an overlay alignment pattern and a measurement method.
Background
In the semiconductor device, epitaxial layers with the same single crystal structure but different doping are formed on a single crystal substrate through an epitaxial technology and are used as a substrate layer or an insulating layer in the vertical direction of the device, so that the breakdown voltage of the device can be improved, and the substrate resistance can be reduced. Before epitaxy, a buried layer is usually formed vertically below a device layer to be used as an insulating layer or a connecting line layer, and subsequent device formation is required to be within the projection range of the region, and the photoetching level after epitaxy has higher alignment precision, and for devices with smaller sizes, the alignment precision is higher.
Overlay accuracy characterizes the degree of positional deviation of a multilayer pattern, and measurement of an overlay alignment pattern is typically used to determine overlay accuracy. However, in the epitaxial layer deposition process, the geometry of each component of the semiconductor device defined by the photolithography process and the etching process may be distorted by the epitaxial growth, and under the condition that the epitaxial layer is grown thickly, the alignment pattern may expand, blur, shift or even be damaged, so that the measurement equipment cannot identify the contour boundary of the alignment pattern, the alignment pattern fails, the alignment precision of the device is reduced, and the product yield is reduced.
Disclosure of Invention
Based on the above, the present disclosure provides an overlay alignment pattern and a measurement method, which can at least improve the contrast of the overlay alignment pattern, avoid that the outline boundary of the overlay alignment pattern cannot be identified due to epitaxial layer growth, and obtain the overlay accuracy after epitaxial layer growth, thereby improving the overlay accuracy of devices and the product yield.
To solve the above technical problem and other problems, according to some embodiments, an aspect of the present disclosure provides an overlay alignment pattern including a base alignment pattern, a first alignment pattern, and a second alignment pattern; the basic alignment pattern is positioned in a preset area of the substrate and comprises a plurality of first basic sub-alignment patterns extending along a first direction and a plurality of second basic sub-alignment patterns extending along a second direction; the first alignment pattern is positioned on the epitaxial layer on the substrate and comprises a plurality of strip patterns, and the orthographic projection of the strip patterns in a preset area covers a first basic sub-alignment pattern or a second basic sub-alignment pattern; the second alignment pattern is positioned on the epitaxial layer and comprises a plurality of alignment patterns, and the orthographic projection of the alignment patterns in the preset area surrounds the first basic sub-alignment pattern or the second basic sub-alignment pattern; the second alignment pattern is in orthographic projection of the epitaxial layer, far away from the substrate surface, in the preset region, and surrounds the first alignment pattern, and in orthographic projection of the epitaxial layer, far away from the substrate surface, in the preset region; the first direction intersects the second direction.
In the overlay alignment pattern of the above embodiment, a basic alignment pattern, a first alignment pattern, and a second alignment pattern are sequentially disposed on a substrate, and the basic alignment pattern includes a plurality of first basic sub-alignment patterns and a plurality of second basic sub-alignment patterns; the first alignment pattern comprises a plurality of strip patterns, and the orthographic projection of the strip patterns in the preset area covers a first basic sub-alignment pattern or a second basic sub-alignment pattern; the second alignment pattern comprises a plurality of alignment patterns, and the orthographic projection of the alignment patterns in the preset area surrounds the first basic sub-alignment pattern or the second basic sub-alignment pattern; the orthographic projection of the second alignment pattern in the preset area surrounds the orthographic projection of the first alignment pattern in the preset area, so that the problem that the outline boundary of the alignment pattern cannot be identified due to expansion, blurring and deviation of the alignment pattern and even damage of the alignment pattern caused by epitaxial layer growth is avoided, and the alignment precision and the product yield of the device are improved.
In some embodiments, the first direction is perpendicular to the second direction; the strip pattern is rectangular.
In some embodiments, the alignment pattern includes a plurality of first sub-alignment patterns extending in a first direction and a plurality of second sub-alignment patterns extending in a second direction; the first sub-alignment patterns are distributed on two opposite sides of a first basic sub-alignment pattern along the second direction, or distributed on two opposite sides of a second basic sub-alignment pattern along the second direction; the second sub-alignment patterns are distributed on two opposite sides of a second basic sub-alignment pattern along the first direction, or on two opposite sides of a second basic sub-alignment pattern along the first direction.
In some embodiments, the first sub-alignment patterns are distributed on two opposite sides of the long bar pattern along the second direction; the second sub-alignment patterns are distributed on two opposite sides of the long strip pattern along the first direction; a first preset interval is reserved between the first sub-alignment pattern and the long strip patterns adjacent to the first sub-alignment pattern along the second direction; a second preset interval is reserved between the second sub-alignment pattern and the long strip patterns adjacent to the second sub-alignment pattern along the first direction.
In some embodiments, the first sub-alignment pattern and the second sub-alignment pattern are both trench-shaped; a third preset interval is formed between the second sub-alignment patterns adjacent to each other along the first direction; and a fourth preset interval is formed between the first sub-alignment patterns adjacent to each other along the second direction.
In some embodiments, the epitaxial layer comprises a photoresist layer, the photoresist layer being located on the substrate; the strip pattern is an opening pattern or an etching pattern, and the opening pattern is positioned on the photoresist layer.
In some embodiments, the epitaxial layer includes a first semiconductor layer and a second semiconductor layer, the first alignment pattern is on the first semiconductor layer, and the second alignment pattern is on the second semiconductor layer; between the first semiconductor layer substrate and the second semiconductor layer; or the second semiconductor layer is located between the substrate and the first semiconductor layer.
In some embodiments, the alignment pattern includes at least one of the following features: the length of the first basic sub-alignment pattern or the second basic sub-alignment pattern is 28 μm-32 μm; the width of the first basic sub-alignment pattern or the second basic sub-alignment pattern is 1.5 μm-2.5 μm; the pitch of the first basic sub-alignment patterns adjacent to each other in the second direction is 16 μm to 20 μm; the pitch of the second basic sub-alignment patterns adjacent to each other in the first direction is 16 μm to 20m; the second sub-alignment pattern has a pitch of 12.2 μm to 13.8 μm from the first sub-alignment pattern adjacent thereto in the first direction; the length of the strip pattern is 28-39 μm; the width of the stripe pattern is 4.4 μm to 7.2. Mu.m.
In some embodiments, the first predetermined pitch is 2.3 μm to 3.5 μm; the second preset interval is 13.3-14.5 μm; the third preset interval is 0.26-0.30 μm; the fourth predetermined pitch is 0.38 μm to 0.42 μm.
According to some embodiments, a further aspect of the present disclosure provides a measurement method based on the overlay alignment pattern implementation of any one of the above embodiments; the measuring method comprises the following steps: acquiring a first initial offset of a central point of the first alignment graph compared with a central point of the basic alignment graph, and calculating a first target offset according to the first initial offset and a first preset rule, wherein the first target offset is an actual offset of a layer where the first alignment graph is located relative to a layer where the basic alignment graph is located; and acquiring a second initial offset of the central point of the second alignment graph compared with the central point of the basic alignment graph, and calculating a second target offset according to the second initial offset and a second preset rule, wherein the second target offset is the actual offset of the layer where the second alignment graph is located relative to the layer where the basic alignment graph is located.
In the measurement method of the embodiment, the actual offset is obtained by obtaining the first initial offset, the first target offset is calculated, the second initial offset is obtained, and the second target offset is calculated to obtain the actual offset, so that the online overlay error measurement result is verified, the accuracy of the online measurement result is judged, the epitaxial process is monitored, the epitaxial process and the subsequent process are subjected to auxiliary guidance according to the actual offset measurement result, and the overlay accuracy and the product yield of the device are improved.
Drawings
In order to more clearly illustrate the technical solutions in the embodiments of the present disclosure, the drawings needed to be used in the description of the embodiments are briefly introduced below, and it is obvious that the drawings in the following description are only some embodiments of the present disclosure, and it is obvious for those skilled in the art to obtain other drawings based on these drawings without creative efforts.
FIG. 1A is a schematic top view of an embodiment of the present disclosure before epitaxial growth of an overlay alignment feature;
FIG. 1B is a schematic top view illustrating the epitaxial growth of the overlay alignment patterns according to one embodiment of the present disclosure;
FIG. 2 is a schematic top view of an alignment pattern for overlay in one embodiment of the present disclosure;
FIG. 3 is a schematic top view of an alignment pattern for alignment in another embodiment of the present disclosure;
FIG. 4 is a schematic top view of an overlay alignment pattern according to yet another embodiment of the present disclosure;
FIG. 5 is a schematic top view of an alignment pattern for alignment in accordance with another embodiment of the present disclosure;
fig. 6 is a schematic flow chart of a measurement method according to an embodiment of the disclosure.
Description of reference numerals:
10. a substrate; 11. an epitaxial layer; 101. a first alignment area; 102. a second alignment area; 100. a base alignment pattern; 110. a first base sub-alignment pattern; 120. a second base sub-alignment pattern; 200. a first alignment pattern; 210. a strip pattern; 300. a second alignment pattern; 310. aligning the pattern; 311. a first sub-alignment pattern; 312. a second sub-alignment pattern.
Detailed description of the preferred embodiments
To facilitate an understanding of the present disclosure, the present disclosure will now be described more fully with reference to the accompanying drawings. Preferred embodiments of the present disclosure are shown in the drawings. This disclosure may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete.
Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this disclosure belongs. The terminology used herein in the description of the disclosure is for the purpose of describing particular embodiments only and is not intended to be limiting of the disclosure.
It will be understood that when an element or layer is referred to as being "on," "adjacent to," "connected to," or "coupled to" other elements or layers, it can be directly on, adjacent to, connected or coupled to the other elements or layers or intervening elements or layers may be present. In contrast, when an element is referred to as being "directly on," "directly adjacent to," "directly connected to" or "directly coupled to" other elements or layers, there are no intervening elements or layers present. It will be understood that, although the terms first, second, third, etc. may be used to describe various elements, components, regions, layers, doping types and/or sections, these elements, components, regions, layers, doping types and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, doping type or section from another element, component, region, layer, doping type or section. Thus, a first element, component, region, layer, doping type or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present disclosure.
Spatially relative terms, such as "under," "below," "beneath," "under," "above," "over," and the like, may be used herein to describe one element or feature's relationship to another element or feature as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements or features described as "below" or "beneath" other elements or features would then be oriented "above" the other elements or features. Thus, the exemplary terms "under" and "under" can encompass both an orientation of above and below. In addition, the device may also include additional orientations (e.g., rotated 90 degrees or other orientations) and the spatial descriptors used herein interpreted accordingly.
As used herein, the singular forms "a", "an" and "the" may include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms "comprises" and/or "comprising," when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Also, as used herein, the term "and/or" includes any and all combinations of the associated listed items.
It should be noted that the drawings provided in the embodiments are only for illustrating the basic idea of the disclosure, and although the drawings only show the components related to the disclosure and are not drawn according to the number, shape and size of the components in actual implementation, the type, quantity and proportion of the components in actual implementation may be changed freely, and the layout of the components may be more complicated.
Referring to fig. 1A to 1B, a conventional overlay alignment pattern is formed as a pattern represented by ia before epitaxial growth, and is used as an overlay alignment pattern for photolithography overlay measurement, thereby performing a subsequent process according to the overlay alignment pattern; however, for the process with a large epitaxial layer thickness or a severe pattern distortion, referring to fig. 1B, due to the limitation of the epitaxial process, the film coverage of the epitaxial layer growth is good, the epitaxial growth distorts the geometric shapes of each component of the semiconductor device defined by the photolithography process and the etching process, resulting in the smoothing of the vertical end surface of the overlay alignment pattern, and the step depth of the overlay alignment pattern after the epitaxial growth is insufficient, and in addition, the shape of the overlay alignment pattern after the growth may change constantly with the epitaxial process and the end surface shape, resulting in the expansion, blurring, shifting or even damage of the overlay alignment pattern, resulting in the contrast reduction or even failure of the overlay alignment pattern, so that the measuring equipment cannot accurately identify the contour boundary of the overlay alignment pattern, reducing the overlay accuracy of the device, and further reducing the product yield.
Referring to fig. 2 to 5, according to some embodiments, the present disclosure provides an overlay alignment pattern including a base alignment pattern 100, a first alignment pattern 200, and a second alignment pattern 300; the basic alignment pattern 100 is located in a predetermined region of the substrate 10, the predetermined region includes a first alignment region 101 and a second alignment region 102 adjacent to each other along a first direction, the first alignment region 101 and the second alignment region 102 include rectangles in shape, the basic alignment pattern 100 includes a plurality of first basic sub-alignment patterns 110 extending along the first direction and a plurality of second basic sub-alignment patterns 120 extending along a second direction, the first basic sub-alignment patterns 110 are located in the first alignment region 101, and the first basic sub-alignment patterns 110 are located in the first alignment region 101; the first alignment pattern 200 is located on the epitaxial layer 11 on the substrate 10 and includes a plurality of bar patterns 210, and an orthogonal projection of the bar patterns 210 in a predetermined area covers a first basic sub-alignment pattern 110 or a second basic sub-alignment pattern 120; the second alignment pattern 300 is located on the epitaxial layer 11 and includes a plurality of alignment patterns 310, wherein an orthogonal projection of the alignment patterns 310 in a predetermined area surrounds the first basic sub-alignment pattern 110 or the second basic sub-alignment pattern 120; wherein, the orthographic projection of the second alignment pattern 300 in the preset area surrounds the orthographic projection of the first alignment pattern 200 in the preset area; the first direction intersects the second direction, e.g., the first direction is the OX direction and the second direction is the OY direction; the substrate 10 may be formed using a semiconductor material, an insulating material, a conductive material, or any combination thereof. The substrate 10 may have a single-layer structure or a multi-layer structure. For example, the substrate 10 may be a substrate such as a silicon (Si) substrate 10, a silicon germanium (SiGe) substrate 10, a silicon germanium carbon (SiGeC) substrate 10, a silicon carbide (SiC) substrate 10, a gallium arsenide (GaAs) substrate 10, an indium arsenide (InAs) substrate 10, an indium phosphide (InP) substrate 10, or other III/V semiconductor substrate 10 or II/VI semiconductor substrate 10. Alternatively, and for example, the substrate 10 may be a layered substrate 10 comprising a material such as Si/SiGe, si/SiC, silicon-on-insulator (SOI), or silicon germanium-on-insulator.
With reference to fig. 2 to 5, in the overlay alignment patterns of the above embodiment, a basic alignment pattern 100, a first alignment pattern 200 and a second alignment pattern 300 are sequentially disposed on a substrate 10, wherein the basic alignment pattern 100 includes a plurality of first basic sub-alignment patterns 110 and a plurality of second basic sub-alignment patterns 120; the first alignment pattern 200 includes a plurality of bar patterns 210, and the orthographic projection of the bar patterns 210 in the predetermined area covers a first basic sub-alignment pattern 110 or a second basic sub-alignment pattern 120; the second alignment pattern 300 includes a plurality of alignment patterns 310, and an orthogonal projection of the alignment patterns 310 in a predetermined area surrounds the first basic sub-alignment pattern 110 or the second basic sub-alignment pattern 120; the orthographic projection of the second alignment pattern 300 in the preset area surrounds the orthographic projection of the first alignment pattern 200 in the preset area, so that the problem that the outline boundary of the overlay alignment pattern cannot be identified due to expansion, blurring, deviation and even damage of the overlay alignment pattern caused by growth of the epitaxial layer 11 is avoided, and the overlay accuracy and the product yield of devices are improved.
Referring to fig. 4, in some embodiments, the first direction, e.g., the OX direction, is perpendicular to the second direction, e.g., the OY direction; the bar patterns 210 have a rectangular shape, so that the bar patterns 210 more uniformly surround the first and second base sub-alignment patterns 110 and 120.
Referring to fig. 3, in some embodiments, the alignment pattern 310 includes a plurality of first sub-alignment patterns 311 extending along a first direction and a plurality of second sub-alignment patterns 312 extending along a second direction; the first sub-alignment patterns 311 are disposed on opposite sides of a first base sub-alignment pattern 110 in the second direction or on opposite sides of a second base sub-alignment pattern 120 in the second direction; the second sub-alignment patterns 312 are distributed on opposite sides of a second base sub-alignment pattern 120 in a first direction, e.g., an OX direction, or on opposite sides of a second base sub-alignment pattern 120 in the first direction, e.g., an OY direction; through the position relationship of the first sub-alignment pattern 311 and the first base sub-alignment pattern 110, and the position relationship of the second sub-alignment pattern 312 and the second base sub-alignment pattern 120, the alignment pattern comparison before and after the growth of the epitaxial layer 11 is realized, and the epitaxial process and the subsequent process are guided in an auxiliary manner, so that the alignment precision is improved.
Referring to fig. 5, in some embodiments, the first sub-alignment patterns 311 are distributed on two opposite sides of the bar pattern 210 along the second direction; the second sub-alignment patterns 312 are distributed on two opposite sides of the one bar pattern 210 along the first direction; the first sub-alignment pattern 311 has a first predetermined distance between the orthographic projection of the predetermined region and the adjacent strip pattern 210 along the second direction; the second sub-alignment pattern 312 has a second predetermined distance between the orthogonal projection of the predetermined region and the bar pattern 210 adjacent to the second sub-alignment pattern along the first direction, such as the OX direction, and the second direction, such as the OY direction, wherein the first and second predetermined distances can be observed through an Optical Microscope (OM), so that the offset between the first and second sub-alignment patterns 311 and 312 and the bar pattern 210 can be directly obtained.
With continued reference to fig. 5, in some embodiments, the first sub-alignment pattern 311 and the second sub-alignment pattern 312 are both trench-shaped; a third preset interval is provided between the second sub-alignment patterns 312 adjacent in the first direction; a fourth preset interval is provided between the first sub-alignment patterns 311 adjacent in the second direction; the first sub-alignment pattern 311 and the second sub-alignment pattern 312 may be formed by a dry etching process or a wet etching process, for example, a plasma etching process may be used, where plasma etching is performed by using a high-frequency glow discharge reaction to activate a reaction gas into active particles, and the active particles diffuse to an etching portion to react with an etched material to form a volatile product and are removed, so as to increase the speed of the manufacturing process. Since the first sub-alignment pattern 311 and the second sub-alignment pattern 312 are both in the groove shape, the third predetermined pitch and the fourth predetermined pitch can be observed by a Scanning Electron Microscope (CDSEM) for measuring a feature size, and the accuracy of the measurement accuracy and the measured pitch can be improved due to the high resolution image display capability of the CDSEM.
Referring to fig. 4, in some embodiments, epitaxial layer 11 includes a photoresist layer on the substrate; the stripe pattern 210 is an opening pattern or an etching pattern, and the opening pattern is on the photoresist layer. The photoresist is a resist etching film material with the solubility changed by the irradiation or radiation of ultraviolet light, electron beams, ion beams, X rays and the like, and the material of the photoresist layer can comprise ultraviolet photoresist, deep ultraviolet photoresist, X-ray photoresist, electron beam photoresist, ion beam photoresist and the like; for example, the ultraviolet photoresist can comprise cinnamate photoresist, the cinnamate photoresist can comprise polyvinyl alcohol cinnamate photoresist, polyethylene oxyethyl cinnamate photoresist, cinnamylidene diester photoresist and the like, the cinnamate photoresist belongs to linear high polymer, is hardly influenced by oxygen under exposure, does not need nitrogen protection, has the resolution of 1 mu m, can be kept for 0.5h under a film layer at 190 ℃ after development, and has clear and neat lines of graphs formed by the cinnamate photoresist, high sensitivity and resolution, strong corrosion resistance, good adhesiveness and heat resistance.
Referring to fig. 3 to 4, in some embodiments, the epitaxial layer 11 includes a first semiconductor layer (not shown) and a second semiconductor layer (not shown), the first alignment pattern 200 is located on the first semiconductor layer, and the second alignment pattern 300 is located on the second semiconductor layer; the first semiconductor layer is positioned between the substrate and the second semiconductor layer; or the second semiconductor layer is located between the substrate and the first semiconductor layer, so that the first alignment pattern 200 and the second alignment pattern 300 are more flexibly arranged, thereby adapting to different application scenarios.
Referring to fig. 5, in some embodiments, the length of the first base sub-alignment pattern 110 or the second base sub-alignment pattern 120 may be set to be in a range of 28 μm to 32 μm, for example, 28 μm, 29 μm, 30 μm, 31 μm, or 32 μm.
Referring to fig. 5, in some embodiments, the width of the first basic sub-alignment pattern 110 or the second basic sub-alignment pattern 120 may be set to be in a range of 1.5 μm to 2.5 μm, for example, may be set to be 1.5 μm, 2 μm, or 2.5 μm.
With continued reference to fig. 5, in some embodiments, the pitch of the first basic sub-alignment patterns 110 adjacent to each other along the second direction, e.g., the OY direction, may be set to be in a range of 16 μm to 20 μm, e.g., 16 μm, 17 μm, 18 μm, 19 μm, or 20 μm.
With continued reference to FIG. 5, in some embodiments, the pitch of the second basic sub-alignment patterns 120 adjacent to each other along the first direction, e.g., the OX direction, may be set to be in a range of 16 μm-20 μm, e.g., 16 μm, 17 μm, 18 μm, 19 μm, or 20 μm.
With continued reference to fig. 5, in some embodiments, the distance between the orthogonal projection of the first sub-alignment pattern 311 on the predetermined area and the orthogonal projection of the adjacent stripe pattern 210 on the predetermined area along the second direction, for example, the OY direction, may be set to be 1.2 μm to 2.8 μm, for example, may be set to be 1.2 μm, 1.4 μm, 1.7 μm, 2 μm, 2.3 μm, 2.6 μm, or 2.8 μm.
With continued reference to fig. 5, in some embodiments, the pitch between the second sub-alignment pattern 312 and the first sub-alignment pattern 311 adjacent thereto along the first direction, e.g., the OX direction, may be set to be in a range of 12.2 μm to 13.8 μm, e.g., may be set to be 12.2 μm, 12.4 μm, 13 μm, 13.3 μm, 13.6 μm or 13.8 μm, etc.
With continued reference to FIG. 5, in some embodiments, the length of the stripe pattern 210 may be set to be in a range of 28 μm-39 μm, such as 28 μm, 34.6 μm, 35.2 μm, 35.8 μm, 36.4 μm, 37 μm, or 39 μm.
With continued reference to FIG. 5, in some embodiments, the width of the stripe pattern 210 may be set to be in a range of 4.4 μm to 7.2 μm, for example, 4.4 μm, 4.6 μm, 5.2 μm, 5.8 μm, 6.4 μm, 7 μm, or 7.2 μm.
Referring to fig. 5, in some embodiments, the lengths of the first sub-alignment pattern 311 and the second sub-alignment pattern 312 may be set to be in a range of 9.8 μm to 10.2 μm, for example, 9.8 μm, 9.9 μm, 10 μm, 10.1 μm, or 10.2 μm.
With continued reference to fig. 5, in some embodiments, the shapes of the first alignment region 101 and the second alignment region 102 include squares, the side lengths of the first alignment region 101 and the second alignment region 102 may be set to range from 39 μm to 44 μm, for example, the side lengths of the first alignment region 101 and the second alignment region 102 may be set to 39 μm, 40 μm, 41 μm, 42 μm, 43 μm or 44 μm, etc.; thus realizing overlay alignment patterns of different sizes to adapt to devices of different sizes.
With continued reference to fig. 5, in some embodiments, the first predetermined pitch may be set to range from 2.3 μm to 3.5 μm, for example, the first predetermined pitch may be set to be 2.3 μm, 2.6 μm, 2.9 μm, 3.2 μm or 3.5 μm; the second preset pitch may be set in a range of 13.3 μm to 14.5 μm, for example, the second preset pitch may be set to 13.3 μm, 13.6 μm, 13.9 μm, 14.2 μm, 14.5 μm, or the like; the third preset pitch may be set in a range of 0.26 μm to 0.3 μm, for example, the third preset pitch may be set in a range of 0.26 μm, 0.27 μm, 0.28 μm, 0.29 μm, 0.3 μm, or the like; the fourth preset pitch may be set in a range of 0.38 μm to 0.42 μm, for example, the fourth preset pitch may be set to 0.38 μm, 0.39 μm, 0.4 μm, 0.41 μm, or 0.42 μm, etc.; thus realizing the overlay alignment patterns with different sizes to adapt to devices with different sizes.
Referring to fig. 5 to 6, according to some embodiments, the present disclosure provides a measurement method implemented based on an overlay alignment pattern in any of the above embodiments, the measurement method comprising:
step S10: acquiring a first initial offset of the center point of the first alignment pattern 200 compared with the center point of the base alignment pattern 100, and calculating a first target offset according to the first initial offset and a first preset rule, wherein the first target offset is an actual offset of the layer where the first alignment pattern 200 is located relative to the layer where the base alignment pattern 100 is located;
step S20: a second initial offset of the center point of the second alignment pattern 300 with respect to the center point of the base alignment pattern 100 is obtained, and a second target offset is calculated according to the second initial offset and according to a second predetermined rule, where the second target offset is an actual offset of the layer where the second alignment pattern 300 is located with respect to the layer where the base alignment pattern 100 is located.
With continued reference to fig. 5 to 6, in step S10, a first initial offset of the center point of the first alignment pattern 200 from the center point of the base alignment pattern 100 may be obtained through an Optical Microscope (OM). With continued reference to fig. 5 to 6, in step S20, a second initial offset of the center point of the second alignment pattern 300 compared to the center point of the basic alignment pattern 100 may be obtained through a Scanning Electron Microscope (CDSEM) for feature size measurement, which may improve the measurement accuracy and the accuracy of the measured offset due to the high resolution image display capability of the CDSEM.
In the measuring method of the embodiment, the first initial offset is obtained, the first target offset is calculated to obtain the actual offset, the second initial offset is obtained, and the second target offset is calculated to obtain the actual offset, so that the online overlay error measurement result is verified, the accuracy of the online measurement result is judged, the online measurement result is monitored, the epitaxial process and the subsequent process are subjected to auxiliary guidance according to the actual offset measurement result, and the overlay accuracy and the product yield of the device are improved.
Note that the above embodiments are for illustrative purposes only and are not meant to limit the present disclosure.
The embodiments in the present specification are all described in a progressive manner, and each embodiment focuses on differences from other embodiments, and portions that are the same and similar between the embodiments may be referred to each other.
All possible combinations of the technical features in the above embodiments may not be described for the sake of brevity, but should be considered as being within the scope of the present disclosure as long as there is no contradiction between the combinations of the technical features.
The above examples only show several embodiments of the present disclosure, and the description thereof is more specific and detailed, but not to be construed as limiting the scope of the patent disclosure. It should be noted that, for those skilled in the art, various changes and modifications can be made without departing from the concept of the present disclosure, and these changes and modifications are all within the scope of the present disclosure. Therefore, the protection scope of the present disclosure should be subject to the appended claims.

Claims (10)

1. An overlay alignment pattern, comprising:
the basic alignment pattern is positioned in a preset area of the substrate and comprises a plurality of first basic sub-alignment patterns extending along a first direction and a plurality of second basic sub-alignment patterns extending along a second direction;
the first alignment pattern is positioned on the epitaxial layer on the substrate and comprises a plurality of strip patterns, and the orthographic projection of the strip patterns in the preset area covers one first basic sub-alignment pattern or one second basic sub-alignment pattern;
the second alignment pattern is positioned on the epitaxial layer and comprises a plurality of alignment patterns, and the orthographic projection of the alignment patterns in the preset area surrounds the first basic sub-alignment pattern or the second basic sub-alignment pattern;
wherein, the orthographic projection of the second alignment graph in the preset area surrounds the orthographic projection of the first alignment graph in the preset area; the first direction intersects the second direction;
the epitaxial layer comprises a first semiconductor layer and a second semiconductor layer; the first alignment pattern is located on the first semiconductor layer, and the second alignment pattern is located on the second semiconductor layer;
the first semiconductor layer is positioned between the substrate and the second semiconductor layer; or the second semiconductor layer is located between the substrate and the first semiconductor layer.
2. The overlay alignment pattern of claim 1, wherein the first direction is perpendicular to the second direction;
the strip patterns are rectangular.
3. The overlay alignment pattern of claim 2, wherein the alignment pattern comprises a plurality of first sub-alignment patterns extending along the first direction and a plurality of second sub-alignment patterns extending along the second direction;
the first sub-alignment patterns are distributed on two opposite sides of the first basic sub-alignment pattern along the second direction, or distributed on two opposite sides of the second basic sub-alignment pattern along the second direction;
the second sub-alignment patterns are distributed on two opposite sides of the second basic sub-alignment pattern along the first direction, or on two opposite sides of the second basic sub-alignment pattern along the first direction.
4. The overlay alignment pattern of claim 3, wherein:
the first sub-alignment patterns are distributed on two opposite sides of the long strip pattern along the second direction;
the second sub-alignment patterns are distributed on two opposite sides of the long strip pattern along the first direction;
the orthographic projection of the first sub-alignment pattern on the preset area has a first preset distance between the orthographic projections of the long strip patterns adjacent to the first sub-alignment pattern along the second direction on the preset area;
the second sub-alignment pattern has a second preset distance from the long bar pattern adjacent to the second sub-alignment pattern along the first direction.
5. The overlay alignment pattern of claim 4, wherein the first sub-alignment pattern and the second sub-alignment pattern are both trench-shaped;
a third preset interval is formed between the second sub-alignment patterns adjacent to each other along the first direction;
and a fourth preset interval is formed between the first sub-alignment patterns adjacent to each other along the second direction.
6. The overlay alignment pattern of claim 1 wherein said epitaxial layer comprises a photoresist layer, said photoresist layer being on said substrate;
the strip patterns are opening patterns or etching patterns, and the opening patterns are positioned on the photoresist layer.
7. The overlay alignment pattern of claim 6 wherein the material of the photoresist layer comprises UV photoresist, deep UV photoresist, X-ray photoresist, electron beam photoresist, or ion beam photoresist.
8. The overlay alignment pattern of claim 3 comprising at least one of the following features:
the length of the first basic sub-alignment pattern or the second basic sub-alignment pattern is 28 μm to 32 μm;
the width of the first basic sub-alignment pattern or the second basic sub-alignment pattern is 1.5 μm-2.5 μm;
the pitch of the first basic sub-alignment patterns adjacent to each other along the second direction is 16-20 μm;
the pitch of the second basic sub-alignment patterns adjacent to each other along the first direction is 16-20 μm;
the second sub-alignment pattern has a pitch of 12.2 μm to 13.8 μm from the first sub-alignment pattern adjacent thereto in the first direction;
the length of the strip pattern is 28-39 μm;
the width of the strip pattern is 4.4-7.2 μm.
9. The overlay alignment pattern of claim 5, wherein:
the first preset interval is 2.3-3.5 mu m;
the second preset interval is 13.3-14.5 mu m;
the third preset interval is 0.26-0.30 μm;
the fourth preset interval is 0.38-0.42 μm.
10. A measurement method, characterized in that, the alignment pattern is realized based on any one of the overlay alignment patterns of claims 1-9; the measurement method comprises the following steps:
acquiring a first initial offset of the center point of the first alignment graph compared with the center point of the basic alignment graph, and calculating a first target offset according to the first initial offset and a first preset rule, wherein the first target offset is an actual offset of a layer where the first alignment graph is located relative to a layer where the basic alignment graph is located;
and obtaining a second initial offset of the center point of the second alignment graph compared with the center point of the basic alignment graph, and calculating a second target offset according to the second initial offset and a second preset rule, wherein the second target offset is an actual offset of a layer where the second alignment graph is located relative to a layer where the basic alignment graph is located.
CN202211593501.6A 2022-12-13 2022-12-13 Overlay alignment pattern and measuring method Active CN115586714B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211593501.6A CN115586714B (en) 2022-12-13 2022-12-13 Overlay alignment pattern and measuring method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211593501.6A CN115586714B (en) 2022-12-13 2022-12-13 Overlay alignment pattern and measuring method

Publications (2)

Publication Number Publication Date
CN115586714A CN115586714A (en) 2023-01-10
CN115586714B true CN115586714B (en) 2023-04-07

Family

ID=84783048

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211593501.6A Active CN115586714B (en) 2022-12-13 2022-12-13 Overlay alignment pattern and measuring method

Country Status (1)

Country Link
CN (1) CN115586714B (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050099628A1 (en) * 2002-03-29 2005-05-12 Nikon Corporation Mark for position detection, mark identification method, position detection method, exposure method, and positional information detection method
CN104765254B (en) * 2015-04-29 2017-08-08 上海华虹宏力半导体制造有限公司 A kind of alignment mark
CN114167694A (en) * 2020-09-10 2022-03-11 中国科学院微电子研究所 Combined overlay mark and method for measuring overlay error by using overlay mark
CN113093479B (en) * 2021-04-02 2022-10-28 长鑫存储技术有限公司 Alignment measurement mark structure and alignment measurement method
CN114578662A (en) * 2022-02-28 2022-06-03 上海华虹宏力半导体制造有限公司 Overlay mark

Also Published As

Publication number Publication date
CN115586714A (en) 2023-01-10

Similar Documents

Publication Publication Date Title
CN109817516B (en) Semiconductor device with overlapped pattern
US5982044A (en) Alignment pattern and algorithm for photolithographic alignment marks on semiconductor substrates
US20050242448A1 (en) Overlay key, method of manufacturing the same and method of measuring an overlay degree using the same
US6670632B1 (en) Reticle and method of fabricating semiconductor device
US7642103B2 (en) Method of fabricating semiconductor device
CN111312691B (en) Overlay alignment mark structure, overlay alignment measurement method and semiconductor device
US9018073B2 (en) Method of manufacturing a semiconductor device including alignment mark
US11043460B2 (en) Measurement method of overlay mark structure
KR20000029347A (en) Reticle having mark for detecting alignment and method for detecting alignment
US8674524B1 (en) Alignment marks and a semiconductor workpiece
CN115586714B (en) Overlay alignment pattern and measuring method
CN104281010A (en) Forming method and substrate
JP2006332177A (en) Semiconductor wafer, manufacturing method thereof and mask
CN113517180B (en) Mask layout correction method and mask layout
CN209641653U (en) Alignment mark
US7393616B2 (en) Line end spacing measurement
US4737646A (en) Method of using an electron beam
JP2013149708A (en) Method of manufacturing semiconductor device
US6403978B1 (en) Test pattern for measuring variations of critical dimensions of wiring patterns formed in the fabrication of semiconductor devices
JP2008016639A (en) Method for manufacturing semiconductor device
JP4146827B2 (en) Reference value setting method, pattern determination method, alignment inspection apparatus, semiconductor device manufacturing system, semiconductor manufacturing factory, and semiconductor device manufacturing method
CN117631485A (en) Photoetching alignment mark improvement method and photoetching alignment mark
KR100724189B1 (en) Overlay mark of a semiconductor device
KR20230069545A (en) Method for manufacturing a semiconductor device using a moire pattern
CN116741752A (en) Overlay accuracy measurement method and measurement pattern

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant