CN114631031A - 用于测试一个或多个被测器件的自动化测试设备、过程和计算机程序,其中不同的测试活动利用被测器件资源的子集 - Google Patents

用于测试一个或多个被测器件的自动化测试设备、过程和计算机程序,其中不同的测试活动利用被测器件资源的子集 Download PDF

Info

Publication number
CN114631031A
CN114631031A CN202080076051.6A CN202080076051A CN114631031A CN 114631031 A CN114631031 A CN 114631031A CN 202080076051 A CN202080076051 A CN 202080076051A CN 114631031 A CN114631031 A CN 114631031A
Authority
CN
China
Prior art keywords
test
automated
equipment
activities
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080076051.6A
Other languages
English (en)
Inventor
约亨·里瓦尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advantest Corp
Original Assignee
Advantest Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advantest Corp filed Critical Advantest Corp
Publication of CN114631031A publication Critical patent/CN114631031A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/36Preventing errors by testing or debugging software
    • G06F11/3668Software testing
    • G06F11/3672Test management
    • G06F11/3684Test management for test design, e.g. generating new test cases
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/286External aspects, e.g. related to chambers, contacting devices or handlers
    • G01R31/2868Complete testing stations; systems; procedures; software aspects
    • G01R31/287Procedures; Software aspects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2872Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation
    • G01R31/2879Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation related to electrical aspects, e.g. to voltage or current supply or stimuli or to electrical loads
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/31903Tester hardware, i.e. output processing circuits tester configuration
    • G01R31/31908Tester set-up, e.g. configuring the tester to the device under test [DUT], down loading test patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/263Generation of test inputs, e.g. test vectors, patterns or sequences ; with adaptation of the tested hardware for testability with external testers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/36Preventing errors by testing or debugging software
    • G06F11/3668Software testing
    • G06F11/3672Test management
    • G06F11/3688Test management for test execution, e.g. scheduling of test suites
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • G06F18/2148Generating training patterns; Bootstrap methods, e.g. bagging or boosting characterised by the process organisation or structure, e.g. boosting cascade
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/273Tester hardware, i.e. output processing circuits
    • G06F11/2733Test interface between tester and unit under test

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Environmental & Geological Engineering (AREA)
  • Quality & Reliability (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Data Mining & Analysis (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Software Systems (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Evolutionary Biology (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Debugging And Monitoring (AREA)

Abstract

根据本发明的实施例包括用于测试一个或多个被测器件的自动化测试设备。自动化测试设备被配置为自动、动态地生成一个或多个、优选地多个测试场景,测试场景包括多个测试活动。不同的测试活动利用被测器件资源的子集,这些子集可以重叠。自动化测试设备被配置为生成多个测试场景,使得与测试场景的多个测试活动相关联的被测器件的资源不相互冲突。

Description

用于测试一个或多个被测器件的自动化测试设备、过程和计 算机程序,其中不同的测试活动利用被测器件资源的子集
技术领域
根据本发明的实施例涉及自动化测试设备。根据本发明的其他实施例涉及系统级测试。根据本发明的其他实施例涉及片上系统测试。根据本发明的实施例涉及覆盖测试。
背景技术
尽管某些设备通过了结构和/或参数测试,但它们未能通过系统级测试(SLT),这是经验观察或事实。这通常归因于结构测试期间不切实际的测试条件。
例如,与系统级测试相比,结构测试期间跨管芯区域的活动模式非常不同。结构测试大部分时间都花在频率非常低但模式活动非常高的移位操作上。这会导致电源负载不自然,因此电压曲线与管芯上的位置和时间之间的关系是不切实际的。
另一个示例是,由于自动测试模式生成的困难,电源域交叉和/或时钟域交叉通常不包括在结构测试中。该区域的故障既不会被敏感化,也不会被检测到。
结构测试受到不切实际的测试条件的影响,它们针对出色的故障检测能力进行了优化。相反,SLT完全由合法用户场景组成。在不知道任何故障模型的情况下,人们一致认为或普遍认为未通过SLT会识别出真正坏的器件。
系统级测试存在某些缺点,例如SLT绝不是详尽无遗的,因为被测器件(DUT)在仅一个选定的环境中仅运行一小部分可能的用途和场景,例如电源电压、频率、温度。
另一个缺点是SLT未通过难以调试,因为故障敏感和检测之间的时间可能非常长和/或所有活动的确切顺序未知和/或SLT的模拟时间将太长。
此外,SLT的运行时间很长,十分钟或更长时间也不例外。
此外,SLT很难在测试室中部署,因为针对每个DUT,必须维护大量的系统板。
需要改进系统级测试,以在可能的用户场景、运行时长和实用性之间提供更好的权衡。
发明内容
根据本发明的实施例包括自动化测试设备,用于测试一个或多个被测器件(DUT),例如片上系统(SoC)。自动化测试设备(ATE)被配置为自动、动态地生成一个或多个(优选地多个)测试场景,测试场景包括多个测试活动。不同的测试活动(例如A1、A2、A3)利用DUT资源的子集,这些子集可以重叠,例如A1:CPU2、Mem3,A2:Mem3,A3:MPEG。自动化测试设备被配置为生成多个测试场景,使得与测试场景的多个测试活动相关联的DUT的资源不相互冲突。
可以预计片上系统测试(OCST)会发现比SLT更多的相关问题,因为与SLT相比,它使DUT暴露于更多的合法测试条件。当在测试活动的组合期间发生问题时,该问题可以被认为是相关的,这可以模拟真实用例或成为真实用例的一部分。
OCST可能会改变外部测试条件,例如电源电压和频率,以使故障和/或内部测试条件(例如测试活动的强度,例如数据移动的速度)敏感,以便使工作负载相关的故障敏感。一旦对故障敏感,OCST就可以提供良好的可观察性来检测未通过行为。
OCST可以通过显示它能够找到SLT未通过的哪个子集来证明其有效性。换句话说,OCST可能能够找到SLT的未通过子集。
理想情况下,OCST测试可以在模拟环境中重现,例如用于调试。OCST还应利用现有的片上资源,例如用于测试的设计(DFT)、用于调试的设计(DFD)、扫描链、逻辑内置自测(LBIST)和/或内存内置自测(MBIST)。此外,在多核DUT和多个ATE资源之间的接口处可以支持多线程。
在优选实施例中,给定测试场景的多个测试活动被配置为同时执行。
由于ATE被配置为生成测试场景,其中测试活动使用不重叠的DUT资源,因此测试场景的测试活动不会相互冲突。也就是说,可以通过同时执行给定测试场景的测试活动来加快测试。
本发明的总体思路是使用DUT资源同时在DUT上运行大量简单、现实的自检测试活动,例如数据块移动或测试活动强度和并发的变化组合中的内置自检。每个测试活动可以检查选定或涉及的IP块,但也可以通过加载电源、时钟树和热耦合来影响其他块的测试条件。
根据实施例,测试活动中的一个或多个与一个或多个测试参数(例如电压、速度、数据传输的大小、数据传输之间的时间、总数据传输被分割成的块大小等)相关联。测试参数控制测试活动的行为。测试参数由相应的测试参数值表征,例如开/关、5V、50GB/s等,和/或与一个或多个例如用于动态创建测试参数值的约束或限值(limit)相关联。
可以在包括一个或多个测试场景的测试序列中执行具有不同参数值的相同测试活动。测试活动可与一个以上的测试参数和/或约束相关联。例如,作为测试参数(诸如电压)的值的测试参数值可以随测试活动而变化。测试活动也可能有一些约束或限制,例如,以保护DUT或DUT资源,或者避免在实际使用中不会发生的场景。这种约束可能是受限的写入速度、温度限值、内存的受限使用等。
根据实施例,测试活动中的一个或多个的特征在于一个或多个测试参数值超出预定限制(limitation)或超出芯片规范,例如太低的电压值和/或太快的频率。
超出或略微超出预定限制或芯片规范的DUT测试结果可能与在预义限制或芯片规范内的DUT性能相关。也就是说,在芯片规范之外具有良好测试结果的DUT可能暗示在芯片规范内DUT的良好性能。相反,芯片规范之外的测试未通过不被认为是芯片规范内未通过测试的硬提示或证明,而是表明存在缺陷。
根据实施例,在与给定测试场景的多个测试活动相关联的被测器件的资源不相互冲突的约束下,随机选择给定测试场景的多个测试活动,以便避免来自不同测试活动的对使用或测试参数值的冲突要求。
为了测试在真实工作环境中发生的活动或测试活动之间的未知交互,需要在测试场景中随机混合测试活动。可参数化测试活动的随机化涵盖了本地工作负载的许多组合,因此模拟了大量被认为是造成许多系统级故障的实际工作负载模式。
在优选实施例中,自动化测试设备包括用于生成测试场景的约束求解器,以防止在被测器件的资源之间发生冲突。
每个测试活动都可有测试参数和可选约束。例如,数据块写入和回读测试活动可以具有初始时间延迟、块大小、起始地址、子块大小、回读之前的时间延迟的测试参数。约束也可以在测试场景级别,涉及来自多个测试活动的测试参数。
工作站或控制器(例如片上OCST控制器或OCST卡)可以使用约束求解器从测试活动自动生成测试场景。约束求解器匹配可以同时共存而不违反资源约束的测试活动。
在优选实施例中,测试活动中的一个或多个包括激活布置在被测器件上的压力发生器,例如片上压力发生器,例如到内部和/或外部总线的流量发生器。
例如,现有的或新的用于测试的设计(DFT)结构可以产生受控压力并增加可观察性,以增加检测的可能性(或用于更可能的故障检测)。压力发生器可以包括到内部总线的流量发生器,和/或到外部输入的流量发生器,以便模拟来自遗漏的外部器件的流量。压力发生器允许在选定的封装IP块中运行内置自测(BIST),例如内存或逻辑内置自测(MBIST、LBIST)。此外,压力发生器可以运行输入/输出(I/O)环回测试。此外,压力发生器可以使用封装器(例如IEEE1500封装器)隔离IP块,并将其扫描链切换到线性反馈移位寄存器(LFSR)。
根据实施例,自动化测试设备被配置为生成测试序列,例如测试场景的一系列测试步骤。
生成测试场景的测试序列,以测试并发测试活动的不同组合,以模拟可能的现实工作负载组合。
根据实施例,测试序列包括具有相同测试活动的两个或更多个测试场景,其中测试场景相差至少一个测试参数值。
测试序列可以包括具有不同测试参数值的相同测试活动的测试场景,以便测试测试参数对测试结果的影响。仅更改DUT的IP块的一个测试参数值也可能影响DUT的另一个IP块。
此外,以相同的测试活动但不同的测试参数值进行测试场景可以进一步帮助在测试评估过程中定位有故障的IP块。
在优选实施例中,测试序列的多个测试场景是随机选择和/或排序的,以便模拟现实的工作负载模式,例如通过自动测试设备或通过控制器进行。
测试序列的测试场景的随机化通过创建或生成真实的工作负载来进一步改进测试过程。理想情况下,测试场景是相互独立的,即测试场景执行顺序的变化不预期改变DUT的行为。该场景执行顺序的随机化可以例如测试来自先前测试场景的暂置热量或暂置数据是否会影响当前测试场景。
在优选实施例中,自动化测试设备被配置为生成测试序列,使得测试序列被配置为由控制器执行以收集测试数据。
生成的测试序列由控制器或OCST控制器执行。控制器的任务是触发多个测试活动的执行并读出测试结果,例如通过/未通过结果和/或测量结果。控制器知道使用哪个测试参数值执行哪个测试场景。发生错误时需要调试。
根据实施例,控制器是片上处理器,例如连同操作系统,或控制器卡,例如作为自动测试设备的一部分,被配置为与自动测试设备和被测器件进行通信。
控制器在DUT上执行测试序列,并向ATE提供测量数据或测量结果。ATE中带有操作系统的片上处理器或控制器卡将测量值或测试结果或从DUT收集的数据传送到ATE。
在优选实施例中,控制器包括一个或多个接口,该接口被配置为读出DUT数据,例如存储器数据和/或被测器件传感器数据,例如片上传感器数据。因此,ATE被配置为设置控制器以读出DUT数据和/或DUT传感器数据。
通过接口读出DUT测试数据和/或DUT传感器数据通过允许将结果数据和/或结果传感器数据与预期结果数据或预期传感器数据进行比较来进一步改进测试。控制器、OCST控制器优选地是片上处理器以及可选的测试操作系统,其与片上IJTAG接口以便读出片上传感器数据。
根据实施例,控制器接口到分布在被测器件区域上的一个或多个传感器,使得控制器被配置为读出一个或多个传感器的传感器测试数据。因此,自动测试设备被配置为设置控制器以读出分布在控制器所包括的被测器件区域上的一个或多个传感器的传感器测试数据。
无论控制器是片上处理器还是与DUT或ATE工作站通信的OCST控制卡,控制器都可以包括分布在DUT管芯区域上的附加传感器,以便检测局部异常。应用的传感器可以包括例如温度、电流和/或电压传感器。
在优选实施例中,控制器被配置为在收集的测试数据满足预定条件(例如超过一个或多个预定阈值)的情况下作出反应,例如收集额外数据,例如存储的系统数据、程序计数器或存储器寄存器信息。因此,自动测试设备被配置为设置控制器以在收集的测试数据满足预定条件的情况下作出反应。
例如,例如在razor电路中,控制器可以接口到一个或多个传感器,该传感器具有针对温度值(例如电流/最大/最小峰值温度)、电压值(例如电流/最大/最小峰值电压)和/或时序违规的可选阈值警报能力。该警报能力可以触发例如对重要系统数据(例如程序计数器、存储器控制器寄存器)的存储,以便简化调试。
根据实施例,控制器被配置为将收集的测试数据传送到自动化测试设备。
收集的测试数据,无论是测试结果数据还是测得数据,或者任何由测得数据或测试结果数据触发的收集的数据,都由控制器提供给ATE。
根据实施例,控制器或自动化测试设备被配置为基于测试活动约束和/或基于收集的测试数据来动态地创建测试参数值。
收集的测试数据可以由ATE或控制器在测试过程期间进行分析,以便修改现有的测试参数值或将一个或多个测试场景添加到具有新测试参数值的测试序列中。新测试参数值的创建可以考虑给定测试活动的约束。
在优选实施例中,控制器或自动化测试设备被配置为分析收集的测试数据以优化测试序列,例如解决最小覆盖集问题、减少冗余和/或从不会未通过测试活动。
收集的测试数据不仅用于动态地创建测试参数值,还用于优化测试序列。测试的一般概念是识别那些影响测试未通过发生的测试参数。冗余测试活动可有助于评估例如单个或一小组测试参数的影响。在识别出影响最大的测试活动和/或测试场景之后,可以将测试场景或测试场景中的测试活动的数量减少到最小的测试活动集,以减少测试序列的运行时间。
根据实施例,自动化测试设备的控制器被配置为比较或计算在预定限制之内的系统级测试的结果与在预定限制之内和/或之外的收集的测试数据(例如被测器件数据、被测器件传感器数据、传感器测试数据)的相关性,以优化测试时间。
测试在DUT的预定限制或规范之外进行,并与预定限制之内的系统级测试进行比较。具有超出预定限制的正测试结果的测试活动可能表明在预定限制之内通过系统级测试。将预定限制之内的测试结果与预定限制之外的测试结果进行比较可有助于减少测试场景和/或测试场景内的测试活动的数量。它还可以帮助识别或决定测试参数值可以在预定限制之外的程度,以便在预定限制之内和之外执行的测试之间提供良好的相关性。
在优选实施例中,自动化测试设备包括人工智能或机器学习单元,其中控制器或自动化测试设备被配置为利用系统级测试的结果和/或利用收集的测试数据和/或利用系统级测试与收集的测试数据的比较来训练人工智能或机器学习单元,以优化测试序列。
此外,在优选实施例中,经过训练的人工智能或机器学习单元被配置为基于收集的测试数据来预测系统级测试的结果。
人工智能或机器学习单元可以用系统级测试的结果结合收集的测试数据和/或收集的传感器数据进行训练,以将系统级测试结果与收集的测试数据进行比较,以便基于收集的测试数据或测量数据来预测系统级测试的结果。
使用基于收集的测试数据对系统级测试结果进行预测可以进一步减少测试场景的数量和/或具有测试序列的测试场景中的测试活动的数量。
在优选实施例中,控制器或自动化测试设备被配置为分析收集的测试数据以获得测试结果,例如以识别有故障的被测器件和/或有故障的被测器件资源,和/或对被测器件进行分类。
通过例如使用统计方法分析收集的测试数据可能必须定义DUT的故障IP块,或对DUT的IP块进行分类。
在优选实施例中,经过训练的人工智能或机器学习单元被配置为分析收集的测试数据以便优化测试序列和/或获得的测试结果。
已经训练的机器学习单元可以进一步改进测试序列和/或改进统计方法以对DUT的IP块进行分类和/或定义DUT的故障IP块。
根据本发明的其他实施例创建了相应的方法和相应的计算机程序。
但是,应该注意,这些方法是基于与相应的自动化测试设备相同的考虑。此外,这些方法可以通过本文关于自动化测试设备描述的任何特征、功能和细节来补充,无论是以单独的方式还是组合的方式。
附图说明
随后将参照附图描述根据本申请的实施例,其中:
图1示出了根据实施例的包括用于测试一个或多个被测器件的自动化测试设备的测试布置的示意图;
图2示出了根据实施例的描述由测试布置进行的测试过程的框图;
图3示出了根据实施例的示例性测试活动表、约束求解器的输入;
图4示出了根据实施例的由约束求解器使用的示例性资源冲突表;
图5示出了根据实施例的由约束求解器使用的示例性测试场景表;
图6示出了根据实施例的由约束求解器使用的示例性测试步骤表;
图7示出了根据实施例的具有由约束求解器创建的示例性测试序列的空测试结果表;
图8示出了根据实施例的用于优化测试步骤数量的示例性每测试步骤故障表;
图9示出了根据实施例的SLT测试和OCST测试之间的对照表;
图10示出了根据实施例的将收集的测试数据与用作机器学习模块的训练数据集的SLT结果相结合的表;
图11示出了根据实施例的将收集的测试数据与用作机器学习模块的训练数据集的OCST结果相结合的表。
具体实施方式
在下文中,将描述不同的发明实施例和方面。此外,进一步的实施例将由所附权利要求限定。
应当注意,如权利要求限定的任何实施例可以由本文描述的任何细节、特征和功能来补充。此外,本文描述的实施例可以单独使用,也可以可选地由权利要求中包括的任何细节、特征和功能来补充。此外,应当注意,本文所述的各个方面可以单独使用或组合使用。因此,可以将细节添加到所述各个方面中的每一个,而无需将细节添加到所述方面中的另一个方面。还应注意,本公开内容明确或隐含地描述了可用于自动化测试设备的特征。因此,本文描述的任何特征都可以在自动化测试设备的上下文中使用。
此外,本文公开的与方法有关的特征和功能也可以用在被配置为执行这种功能的装置中。此外,本文公开的关于装置的任何特征和功能也可以用于相应的方法中。换言之,本文公开的方法可以由关于装置描述的任何特征和功能来补充。
从下面给出的详细描述和本发明实施例的附图中将更充分地理解本发明,然而,这不应被认为将本发明限制于所描述的具体实施例,而仅用于解释和理解。
根据图1的实施例
图1示出了测试布置100的示意图,测试布置100包括用于测试一个或多个被测器件(DUT)120的自动化测试设备(ATE)110。图1还包括示例性DUT 120,该示例性DUT 120包括多个DUT资源130a-e。DUT资源130a-e可以包括不同的IP块,例如CPU、存储器、MPEG等。
ATE 110被配置为生成多个测试场景140a-c,每个测试场景包括多个测试活动。例如,测试场景140c包括测试活动150a-c。
每个测试活动被配置为利用一个或多个DUT资源130a-e。例如,测试活动150a被配置为使用DUT资源130e。或者例如,测试活动150b被配置为使用DUT资源130c和130d。另一个示例可以是测试活动150c,其被配置为使用DUT资源130a和130b。
自动化测试设备110被配置为生成多个测试场景,例如测试场景140a-c,使得与多个测试活动(例如测试活动)相关联150a-c的DUT资源(例如DUT资源130a-e)不互相冲突。例如,测试活动150a-c被分组到测试场景140c中,使得测试活动150a-c的DUT资源130a-e是不冲突的,从而允许给定测试场景140c的测试活动150a-c的并发执行。
片上系统测试(OCST)的一般思想是使用DUT资源130a-e在DUT 120上同时运行大量简单、真实的测试活动,其中并发测试活动的组合和/或强度变化。每个测试活动可以检查涉及的IP模块,但也可以通过加载电源、时钟树和热耦合来影响其他IP块的测试条件。测试活动的示例可以包括移动数据块或执行内置自测,例如内存内置自测(MBIST)或逻辑内置自测(LBIST)。
例如,OCST控制器在某些IP块中本地地运行结构测试,例如LBIST、MBIST等。这些测试活动显然是自检的,但也可以作为压力发生器来控制其他同时运行的测试活动的测试条件。在测试场景中,一些IP核运行结构测试,而其他一些核参与例如基于代码的测试活动。
所涉及的IP块可以应用用于测试的设计(DFT)结构或技术,例如产生压力以使故障敏感和/或增加可观察性,以便可能检测敏感故障和/或提供对现有结构的访问以进行调试或用于系统内测试。
测试活动的随机化或可参数化的测试活动涵盖了本地工作负载的许多组合,因此模拟了大量被认为是造成许多系统级故障的实际工作负载模式。现有的或新的用于测试的设计(DFT)结构可以产生受控压力并增加可观察性,以增加故障检测的可能性(或用于更可能的故障检测)。
DFT结构可以通过例如片上OCST控制器接口到片上IJTAG以便读出片上传感器数据来提高可观察性。
例如,例如在razor电路中,对于电流/最大峰值/最小峰值温度和/或电流/最大峰值/最小峰值电压和/或时序违规,添加具有可选阈值警报能力的传感器可以进一步提高可观察性。警报可以触发存储或保存重要的系统状态,例如程序计数器、内存控制器寄存器,以简化调试。
通过分布在管芯区域上以检测局部异常的额外传感器可以进一步提高可观察性。或者通过连接处理器,以跟踪内存并将其内容与预期进行比较。此外,可以添加断言检查器,例如协议检查器或CRC或总线流量记录器,以测量覆盖范围并协助调试。
测试活动由例如片上系统测试(OCST)控制器以受控方式进行编排,以便可以确定最有效的测试条件,并且可以将未通过归因于特定的测试活动及其测试参数值。
根据图2的实施例
图2示出了描述由类似于图1的测试布置100的测试布置进行的测试过程的框图200。
框图200以测试活动表210开始。测试活动表210包括一列测试活动212,其中每个测试活动212可以使用一个或多个DUT资源214。在DUT资源214列中提供相应的DUT资源214。此外,测试活动212可以具有在单独列中提供的对应测试参数216和/或约束218。约束可以在测试场景级别并参考来自多个测试活动的测试参数。
测试活动表210被馈送到约束求解器250。约束求解器250可以由ATE 220、控制器270包括或者它可以是单独的实体,如图2所示。图2的约束求解器250具有一个输入和一个输出。约束求解器250的输入由测试活动表210馈送。约束求解器的输出是测试序列表260。
测试序列表260包括测试场景262,类似于图1上的测试场景140a-c。测试场景可以包括一个或多个测试活动212a-e,其中每个测试活动212a-e可以包括测试参数值216a-e。测试序列表260被提供给ATE 220或控制器270。
例如,第一场景可以包括具有测试参数P1 216a、P2 216b的第一测试活动212a和具有测试参数P3 216c和P4 216d的测试活动212b。第二场景可以包括具有测试参数P3216c和测试参数P4 216d的第二测试活动212b。第三场景可以包括具有测试参数P3 216c和P5 216e的第三测试活动212c。第四场景可以包括具有测试参数P2 216b和测试参数P4266d的第四测试活动212d。
控制器270将测试序列表260作为输入并输出测试结果表280。由测试块270提供的测试结果表280包括在DUT 282上执行的测试场景262的一个或多个测试活动212的测试结果288。测试结果表280被馈送到ATE 220和/或返回到控制器270。
ATE 220或控制器270已经接受测试结果表280作为输入并且提供改进的测试序列表260和/或结果表292作为输出。
改进的测试序列表260还可以用作控制器270的输入,以提供新的测试结果表280,该表可以反馈到ATE 220或控制器270中。
由ATE 220或控制器270提供的结果表292包括DUT资源296的通过/未通过测试结果298。另外和/或另选地,结果表292可以包括DUT资源296的分类。
具有测试活动212所需的约束218、测试参数216和资源214的测试活动表210被提供给约束求解器250。测试活动表210或测试活动库还可以包括用于控制器270或OCST控制器270的代码池以激活或执行测试活动212。库还可以知道给定测试活动212使用哪些DUT或片上和ATE资源。
约束求解器250被配置为从测试活动表210创建测试序列表260。测试序列表260包括测试场景262,其中测试场景262包括一个或多个测试活动212a-e,其可以共存或可以在不违反资源约束218的情况下同时执行。测试场景262由在工作站和/或控制器270(例如OCST卡或片上OCST控制器)上运行的约束求解器250自动生成。约束可以在PSS中建模。
由约束求解器250提供的测试序列表260包括场景262,其中可以同时执行与一个或多个测试参数216a-e相关联的一个或多个测试活动212a-d。表征各个测试参数216a-e的测试参数值是随机选择的,或具有强调极值。例如,测试场景262的顺序是随机生成的,以便模拟现实生活中的工作负载。
生成的测试序列表260被提供给控制器270,例如片上OCST控制器,其被配置为执行测试序列表260的测试场景262,以便收集测试数据280。控制器270可以包括与ATE通信的接口和/或与DUT通信的接口。
控制器270可以读出DUT测试数据和/或DUT传感器数据和/或控制器可以包括DUT区域或管芯区域上的传感器以检测局部异常。如果测量值和/或传感器值满足某些预定条件,则测得的值或收集的数据280可以触发控制器270收集进一步的信息,例如DUT的存储器信息或状态信息。
控制器270被配置为将场景262的测试活动212的测试结果288或收集的测试数据280传送到ATE 220。ATE 220或控制器270被配置为进一步改进测试过程和/或调试或诊断DUT。
控制器270(例如片上OCST控制器或OCST卡)或ATE 220被配置为动态地创建或修改测试场景的测试参数集,这可以满足约束并且可以允许知道用于调试的当前测试参数。为测试活动212创建测试参数值集的方法包括随机化,其遵循期望的分布,该分布可选地强调极值,使用例如约束求解器来最大化覆盖,或使用例如嵌套循环用于一些测试参数的详尽覆盖。
为了进一步改善测试环境,可能需要测试学习环境。广泛的表征测试是测试学习的基础,其中许多DUT暴露于许多测试步骤或许多测试场景。优选地,不是所有的DUT 282都暴露于相同的测试步骤或测试场景,以便覆盖测试参数216的大量组合。为了避免测试场景偏向某些DUT 282,测试步骤或测试场景以随机排列顺序执行。
ATE 220或控制器270也可以使用用收集的测试结果数据280和系统级测试结果训练的机器学习或AI模块。机器学习模块可以分析收集的测试结果数据280和系统级测试结果,并且可以基于新的一组收集的测试数据280来预测系统级测试结果。
AI模块可以进一步通过没有规范限制的测得的结果数据(例如片上传感器数据)或通过超出规范限制的测试参数(例如过低的电压或过快的频率)来训练,以便从测试结果预测系统级测试未通过。超出规范限制的未通过的测试步骤或测试活动并不是DUT不良的证据。
但是,可以构建机器学习模块或模型以根据测试步骤或测试活动结果来预测系统级测试结果,包括没有或超出规范限制的测得结果,并且包括具有超出规范的测试参数的测试步骤或场景和测试步骤或场景相关属性,例如涉及的测试活动和测试资源。
这样的模型可能会发现一些先前漏掉的SLT未通过,但也可能使一些通过SLT并通过所有其他合法OCST测试的DUT未通过。这些情况可能被认为是通过测试导致了产量损失,并且可以谨慎地与另外发现的SLT未通过进行对换,优选基于成本模型。只有那些附加的测试步骤或场景可以包括在此类模型所需的生产步骤中。其他附加的测试步骤可以再次被移除。
ATE 220或控制器270还可被配置为调试和/或诊断DUT。由于测试结果表280包括测试场景262的同时执行的测试活动212的结果288,因此需要进一步分析收集的测试数据280以便识别和/或分类有故障的DUT或DUT资源。
调试或诊断的总体思路是识别那些最影响OCST未通过发生的测试参数216。与涉及特定IP块中的某些动作的测试活动212相关联的测试参数216为调试提供信息提示。
通过将测试步骤或场景与测试活动、DUT资源、测试参数、测试结果和整体OCST结果(可选地用于多个DUT)相结合的表训练的机器学习模块可用于分类或识别有故障的DUT资源。机器学习模块或机器学习特征选择算法可以识别哪些测试活动、测试或DUT资源和测试结果对于解释导致OCST未通过发生的OCST结果是重要的。
换言之,控制器270控制测试过程。控制器或OCST控制器优选是带有可选测试操作系统的片上处理器,但也可以是与DUT或ATE工作站通信的OCST卡。
例如,OCST控制器的任务可以是触发多个测试活动的执行并读出它们的通过/未通过结果和/或测量结果。测试活动可以包括可选的压力生成和/或可选的故障检测的组合。
下面列出了测试活动的更多示例:
·ATE设置DUT的外部测试条件,例如DUT电源电压或频率,即OCST控制器可以控制ATE资源。
·ATE执行测量,例如电源电流测量。
·在IP核之间移动数据块并在之前和/或之后检查内容。
·在片上CPU上运行内存测试。
·运行内存自检。
·压缩和解压缩图像并检查原始图像和解压缩图像之间的差异(压力和检查)
·运行I/O环回测试。
·应用压力生成,使用DFT技术。
·使用DFT技术激活和读出任何可观察性结构。
控制器始终知道使用哪些测试(活动)参数执行哪个测试场景,这对于发生错误时的调试是必要的。
此外,控制器或OCST控制器可以基于约束动态地创建或修改测试活动参数,和/或可以对它们进行操纵或从预先计算的列表中导入它们。此外,控制器或运行OCST控制器代码的处理器也可以生成测试活动。
根据图3的示例性测试活动表
图3示出了根据实施例的示例性测试活动表300。测试活动表300类似于图2的测试活动表210或者是图2的测试活动表210的示例。
测试活动表300包括测试活动、资源、测试参数和可能结果的列。测试活动表被配置为列出所有可能在DUT上执行的测试以及所需的资源、可调整参数和可能的效果或结果。
测试活动表300可以被约束求解器(例如图2的约束求解器250)用作输入以便创建测试序列。测试序列可以定义在哪个DUT上以什么顺序执行具有哪个测试参数的测试活动。
测试活动表300可以包括常见的测试活动或DUT特定的测试活动。示例性测试活动表300包含测试活动的一些示例。
例如,第一测试活动A1可以包括处理单元2(CPU2),其将数据写入存储器3(MEM3)并检查MEM3的内容。活动A1需要资源R1:CPU2、R2:MEM3、R3:ATE DPS用于核心供应。测试活动A1的可调整测试参数为P1:带宽,P2:DPS电压。结果可能包括两个值r1和r2,r1是未通过/通过值,r2是电流值。
例如,测试活动A2是MEM3的内存内置自测(MBIST),它需要资源R2,即MEM3,没有任何可调整的参数并且以通过/未通过值的结果作为结果。
例如,测试活动A3是MPEG自测试,需要具有可调整块大小的MPEG资源作为测试参数P3,结果为通过/未通过值。
根据图4的资源冲突表
图4示出了可以由图2的约束求解器250使用和/或创建的资源冲突表400。资源冲突表400具有测试活动列和用于DUT的每个资源的列。表400的每个测试活动使用一个或多个DUT测试资源,其由相应资源列中的“X”表示。
约束求解器(例如图2的约束求解器250)可以采用测试活动表(例如图3的表300)作为输入,以便创建测试序列。创建测试序列的步骤要创建资源冲突表,例如资源冲突表400。资源冲突表400显示哪些测试活动正在使用相同的DUT资源,因此哪些测试活动不能同时运行。
例如,资源冲突表400显示测试活动表300的测试活动的冲突资源。例如,测试活动A1使用资源R1、R2、R3并且不使用R4。例如,测试活动A2仅使用R2作为资源。例如,测试活动A3使用DUT资源R4。
如资源冲突表400所示,测试活动A1和测试活动A2是冲突的测试活动,因为它们都需要测试资源R2。测试活动A1和A2都需要测试资源R2,因此不能同时运行。即测试活动A1和测试活动A2不能同时运行,例如不能放在相同的测试场景中。没有资源冲突的测试活动可被组合成测试场景。
根据图5的测试场景表
图5示出了可以由图2的约束求解器250使用或创建的测试场景表500。测试场景表500包括测试场景列和用于所提供的每个测试活动的列。测试场景表500包括所有可能的测试场景。测试场景的一个或多个测试活动同时运行。
测试场景表500显示了所有可能从测试活动(如图3的测试活动表300的测试活动A1、A2和A3)创建的测试场景。测试场景的测试活动需要是不冲突的。冲突的测试活动显示在资源冲突表中,类似于图4的资源冲突表400。从测试场景表(例如测试场景表500)中所有可能的具有不冲突测试活动的测试场景结果中排除具有取自资源冲突表的冲突测试活动的测试场景。
例如,测试场景表500包括测试场景列和用于每个测试活动(例如A1、A2、A3)的列。根据图4的资源冲突表400,A1和A2使用相同的资源R2,因此测试活动A1和测试活动A2不能同时运行,并且不能在相同测试场景中。
例如,图3的示例性测试活动的测试场景是具有测试活动A1的测试场景S1、具有测试活动A2的测试场景S2、具有测试活动A3的测试场景S3、具有并发测试活动A1、A3的测试场景S4、以及具有并发测试活动A2、A3的测试场景S5。由于资源限制,没有测试场景同时运行测试活动A1和A2。
测试活动是否真正同时运行可以取决于测试参数设置和其他未知因素。测试序列或测试套件由多个测试步骤组成,这些步骤执行给定的测试场景,其中为其测试活动利用指定的测试参数值。
根据图6的测试步骤表
图6示出了可由图2的约束求解器250使用或创建的测试步骤表600。测试步骤表包括测试步骤、测试场景、测试活动的列以及对应于测试活动的测试参数的列。
测试步骤表600的测试步骤列包括流水号以便识别不同的测试步骤。测试场景列可以包括所有可能的测试场景至少一次。可以使用几个不同的测试参数对测试场景进行多次测试。与图5的测试场景表500类似,测试场景的测试活动由相应的测试活动列中的“X”表示。
如果测试场景包括测试活动,则对应的测试参数列包括测试参数值。测试参数值优选地被随机地生成,可选地遵循预定分布地和/或可选地以特定百分比集中于比随机生成的测试参数值倾向于引发更多问题的极值而被生成。
通过将测试步骤表600的测试步骤随机地映射到DUT或遵循预定分布地(以便引发比随机映射的测试序列更多的问题)映射到DUT,从测试步骤表600生成测试序列,例如图2的测试序列表260。
测试场景列包括所有可能的测试场景,例如来自测试场景表500的测试场景S1到S5,其中测试场景可以用几个不同的测试参数进行测试。
例如,第一测试步骤可能是具有测试活动A1的第一测试场景S1,对应于测试参数P1,带宽为10GB/S,以及测试参数P2,DPS电压为0.9V。例如,测试步骤2包括相同的测试场景S1,具有不同的测试参数P1,带宽为20GB/S,以及P2,DPS电压为0.86V。
例如,测试步骤3可以包括测试场景S2,其包括没有任何可调整测试参数的测试活动A2。
例如,测试步骤4包括具有测试活动A3的测试场景S3,其中测试参数P3是128kB的块大小。例如,测试步骤5包括相同场景S3,具有1MB块大小的测试参数P3。
例如,测试步骤6包括具有测试活动A1和A3的测试场景S4,具有测试参数P1,带宽为50GB/S,测试参数P2,DPS电压为1.04V,以及测试参数P3,块大小为6MB。例如,步骤7包括相同的场景S4,具有测试参数P3,带宽为3GB/S,测试参数P2,DPS电压为0.97V,测试参数P3,块大小为500KB。例如,步骤8包括相同的场景S4,具有测试参数P1,带宽为27GB/S,测试参数P2,DPS电压为0.88V,测试参数P3,块大小为21MB。
例如,测试步骤9包括测试场景S5,具有测试活动A2,没有测试参数,以及测试活动A3,具有测试参数P3,块大小为7MB。例如,测试步骤10包括与S5相同的测试场景,具有测试参数P3,块大小为780KB。例如,步骤11包括与S5相同的测试场景,具有测试参数P3,块大小为13MB。
根据图7的测试结果表
图7示出了空测试结果表700,在进行图2的测试序列表260的测试活动之后,控制器可以填写该表。测试结果表包括DUT、测试步骤、测试活动的测试结果的列,以及总体测试结果列。
测试结果表700包括测试序列,例如图2的测试序列表260。测试结果表700的前两列,DUT和测试步骤列,定义在哪个DUT上执行哪个测试步骤。测试序列是通过将测试步骤表600的测试步骤随机映射到DUT或遵循预定分布地和/或满足预定条件地(以便引发比随机映射测试序列更多的问题)映射到DUT而从图6的测试步骤表600生成的。
示例性测试序列可以包括例如通过测试步骤6、11、7、1和8测试的DUT1,以及通过测试步骤5、2、10、4和9测试的DUT2。
控制器(例如片上控制器或控制器卡)根据测试序列执行测试。由列r1(#未通过)、r2(电流)、r3(#未通过)、r4(p/f)表示的测试活动结果由控制器收集。总体OCST结果可以基于所有测试活动的结果或测试活动结果的子集。附加地或替代地,OCST结果可以包括基于测试活动结果或一些测试活动结果做出的DUT分类。
在此示例中,总体OCST结果是根据结果r1、r3和r4计算得出的,也就是说,测得结果r2不会对过度测试结果做出贡献,因为它在此示例中没有规范限制。
根据图8的每测试步骤未通过表
图8示出了每测试步骤未通过表800,包括DUT列和每个测试步骤的列。被测试的DUT在DUT列中列出。被测DUT的行在给定的测试步骤列中包含字母“P”(如果被测DUT已通过给定的测试步骤),以及包含具有突出背景的字母“F”(如果DUT未通过给定的测试步骤)。
控制器或ATE被配置为使用每测试步骤未通过表800来优化(优选地减少)测试步骤的数量。例如,可以减少从不会未通过的测试和/或冗余测试步骤的数量。控制器或ATE的任务可以包括选择最小的测试步骤集,这被称为最小覆盖集问题,它具有已知的解。
例如,在每测试步骤未通过表800可以包括四个DUT(DUT 1-4)的测试结果的情况下。例如,DUT 1可能通过除测试步骤6之外的所有测试步骤。例如,DUT 2可能通过除测试步骤2和4之外的所有测试步骤。例如,DUT 3可能通过除测试步骤1和6之外的所有测试步骤。例如,DUT 4可能通过除测试步骤8之外的所有测试步骤。
例如,当控制器或ATE分析每测试步骤未通过表800时,控制器可以得出测试步骤3、5和7永远不会未通过并且因此可以从生产测试中移除的结论。此外,测试步骤4对于测试步骤2是冗余的并且也可以被移除。
根据图9比较OCST和SLT
图9示出了OCST测试结果和SLT测试结果之间的示例性比较表900。示例性比较表900显示了当用OCST测试方法和SLT测试方法测试同一组DUT时可能出现的差异。
在此示例中,9900个器件通过了OCST和SLT这两种测试方法,70个器件未通过。25个器件或DUT未通过OCST测试,但通过了SLT测试,而只有5个DUT通过了OCST测试并且未通过SLT测试。
换句话说,在上面的示例中,OCST漏掉了未通过SLT的5个器件,但在25个DUT中发现了SLT未发现的问题,假设所有测试步骤都描述了具有真实测试参数值的真实场景,这会是很好的平衡。
根据图10的结合测试结果和SLT结果的训练表
图10示出了将收集的测试数据与SLT结果相结合的训练表1000。训练表1000被配置为用作机器学习或AI模块的训练表或训练数据集。训练表1000可以包括在DUT上进行的测试步骤的所有测试活动、测试资源和测试结果以及对应的SLT结果。训练表1000可以包括在多个DUT上执行的多个测试步骤。
训练表1000可以包括在DUT规范之外或稍微在DUT规范之外收集的测试数据结合SLT结果,其中SLT测试是在DUT规范内进行的。例如,在这种情况下,未通过的OCST结果不被视为不合格DUT的强烈迹象,但超出规范限制的通过OCST测试可能被视为良好DUT的强烈迹象,并可能导致将DUT归类为高质量的DUT。
ATE或控制器可以包括机器学习单元或AI模块,其可以由训练表1000训练并且可以被配置为根据对DUT新进行的测试步骤来预测SLT结果。机器学习单元也可用于改进测试过程。
根据图11的带有测试结果的训练表
图11示出了包括收集的测试数据和总体OCST结果的训练表1100。训练表1100被配置为用作机器学习或AI模块的训练表或训练数据集。训练表1100可以包括在DUT上进行的测试步骤的测试活动、测试资源和测试结果以及相应的总体OCST结果。
表1100用于调试和诊断目的。调试的一般思路是识别那些最影响OCST未通过发生的测试参数。ATE或控制器可以包括AI或机器学习单元,以便识别和/或分类经常未通过的DUT资源或DUT。出于效率原因,该表可能限于未通过器件。分析仪可以在许多DUT上进行,以识别经常发生的故障机制。
机器学习单元或模块可以由测试结果表1100训练以便预测未通过的DUT或DUT资源。
替代实现方式
尽管已经在装置的上下文中描述了一些方面,但显然这些方面也表示相应方法的描述,其中块或设备对应于方法步骤或方法步骤的特征。类似地,在方法步骤的上下文中描述的方面也表示对应装置的对应块或项或特征的描述。
取决于某些实现方式要求,本发明的实施例可以以硬件或软件来实施。该实现方式可以使用其上存储有电子可读控制信号的数字存储介质来执行,例如软盘、DVD、CD、ROM、PROM、EPROM、EEPROM或闪存,其与可编程计算机系统协作(或能够协作),从而执行相应的方法。
根据本发明的一些实施例包括具有电子可读控制信号的数据载体,其能够与可编程计算机系统协作,从而执行本文描述的方法之一。
通常,本发明的实施例可以实现为具有程序代码的计算机程序产品,当计算机程序产品在计算机上运行时,该程序代码可操作用于执行方法之一。程序代码例如可以存储在机器可读载体上。
其他实施例包括存储在机器可读载体上的用于执行本文描述的方法之一的计算机程序。
换言之,本发明方法的实施例因此是具有程序代码的计算机程序,该程序代码用于当计算机程序在计算机上运行时执行本文描述的方法之一。
因此,本发明方法的另一个实施例是一种数据载体(或数字存储介质,或计算机可读介质),其上记录有用于执行本文描述的方法之一的计算机程序。数据载体、数字存储介质或记录介质通常是有形的和/或非暂态的。
因此,本发明方法的另一实施例是表示用于执行本文描述的方法之一的计算机程序的数据流或信号序列。数据流或信号序列可以例如被配置为通过数据通信连接(例如通过互联网)传输。
另一实施例包括处理装置,例如计算机或可编程逻辑器件,其被配置为或适于执行本文描述的方法之一。
另一实施例包括其上安装有用于执行本文描述的方法之一的计算机程序的计算机。
根据本发明的另一实施例包括一种装置或系统,其被配置为将用于执行本文描述的方法之一的计算机程序(例如,电子地或光学地)传送到接收器。例如,接收器可以是计算机、移动设备、存储设备等。例如,该装置或系统可以包括用于将计算机程序传送到接收器的文件服务器。
在一些实施例中,可编程逻辑器件(例如现场可编程门阵列)可用于执行本文描述的方法的一些或所有功能。在一些实施例中,现场可编程门阵列可以与微处理器协作以执行本文描述的方法之一。通常,这些方法优选地由任何硬件装置来执行。
本文描述的装置可以使用硬件装置、或者使用计算机、或者使用硬件装置和计算机的组合来实现。
本文描述的装置或本文描述的装置的任何组件可以至少部分地以硬件和/或软件实现。
本文描述的方法可以使用硬件装置、或者使用计算机、或者使用硬件装置和计算机的组合来执行。

Claims (25)

1.一种自动化测试设备(110、220),该自动化测试设备(110、220)用于测试一个或多个被测器件(120、282),
其中所述自动化测试设备被配置为生成一个或多个测试场景(140a-c、262),所述测试场景包括多个测试活动(150a-c、212、212a-d);
其中不同的测试活动利用被测器件资源的子集(130a-e,214);
其中所述自动化测试设备被配置为生成所述多个测试场景,使得与测试场景的多个测试活动相关联的被测器件的资源不相互冲突。
2.根据权利要求1所述的自动化测试设备,其中给定测试场景的多个测试活动被配置为被同时执行。
3.根据权利要求1或2所述的自动化测试设备,其中所述测试活动中的一个或多个与一个或多个测试参数(216、216a-e)相关联和/或与一个或多个约束(218)相关联,所述一个或多个测试参数(216、216a-e)由相应的测试参数值表征。
4.根据前述权利要求中任一项所述的自动化测试设备,其中所述测试活动中的一个或多个由在预定限制之外的一个或多个测试参数值表征。
5.根据前述权利要求中任一项所述的自动化测试设备,其中在与测试场景的多个测试活动相关联的所述被测器件的资源不相互冲突的约束下,所述测试场景的所述多个测试活动被随机地选择。
6.根据前述权利要求中任一项所述的自动化测试设备,其中所述自动化测试设备包括用于生成测试场景的约束求解器(250),以防止在所述被测器件的资源之间发生冲突。
7.根据前述权利要求中任一项所述的自动化测试设备,其中所述测试活动中的一个或多个包括激活布置在所述被测器件上的压力发生器。
8.根据前述权利要求中任一项所述的自动化测试设备,其中所述自动化测试设备被配置为生成测试场景的测试序列。
9.根据权利要求8所述的自动化测试设备,其中所述测试序列包括具有相同测试活动的两个或更多个测试场景,其中这些测试场景差别在于至少一个测试参数值。
10.根据权利要求8或9所述的自动化测试设备,其中所述测试序列的多个测试场景是随机地选择和/或排序的。
11.根据权利要求7至10中任一项所述的自动化测试设备,其中所述自动化测试设备被配置为生成所述测试序列,使得所述测试序列被配置为由控制器(270)执行以收集测试数据。
12.根据权利要求11所述的自动化测试设备,其中所述控制器是被配置为与所述自动化测试设备以及与所述被测器件通信的片上处理器或控制器卡。
13.根据权利要求11或12所述的自动化测试设备,其中所述控制器包括一个或多个接口,所述接口被配置为读出被测器件数据和/或被测器件传感器数据。
14.根据权利要求11至13中任一项所述的自动化测试设备,其中所述控制器包括一个或多个传感器,所述传感器分布在被测器件区域上,使得所述控制器被配置为读出所述一个或多个传感器的传感器测试数据。
15.根据权利要求11至14中任一项所述的自动化测试设备,其中所述控制器被配置为在收集的测试数据(280)满足预定条件的情况下作出反应。
16.根据权利要求11至15中的一项所述的自动化测试设备,其中所述控制器被配置为将收集的测试数据传送到所述自动化测试设备。
17.根据权利要求11至16中任一项所述的自动化测试设备,其中所述控制器或所述自动化测试设备被配置为基于测试活动约束和/或基于收集的测试数据而动态地创建测试参数值。
18.根据权利要求11至17中任一项所述的自动化测试设备,其中所述控制器或所述自动化测试设备被配置为分析收集的测试数据以优化测试序列。
19.根据权利要求11至18中任一项所述的自动化测试设备,其中所述控制器或所述自动化测试设备被配置为将在所述预定限制之内的系统级测试的结果与在所述预定限制之内和/或之外的收集的测试数据进行比较以优化测试序列。
20.根据权利要求11至19中任一项所述的自动化测试设备,其中所述自动化测试设备包括人工智能或机器学习单元,
其中所述控制器或所述自动化测试设备被配置为利用系统级测试的结果和/或利用收集的测试数据和/或利用所述系统级测试与收集的测试数据的比较来训练所述人工智能或所述机器学习单元以优化测试序列。
21.根据权利要求20所述的自动化测试设备,其中经过训练的人工智能或机器学习单元被配置为基于所述收集的测试数据来预测系统级测试的结果。
22.根据权利要求11至21中任一项所述的自动化测试设备,其中所述控制器或所述自动化测试设备被配置为分析所述收集的测试数据以获得测试结果。
23.根据权利要求17至22中任一项所述的自动化测试设备,其中所述经过训练的人工智能或机器学习单元被配置为分析所述收集的测试数据以优化测试序列和/或获得测试结果。
24.一种用于通过自动化测试设备测试一个或多个被测器件的处理方法,
其中所述自动化测试设备生成一个或多个测试场景,所述测试场景包括多个测试活动;
其中不同的测试活动利用被测器件资源的子集;
其中所述自动化测试设备生成所述多个测试场景,使得与测试场景的多个测试活动相关联的被测器件的资源不相互冲突。
25.一种计算机程序,用于当在计算机或信号处理器上被执行时实现权利要求24的方法。
CN202080076051.6A 2020-07-21 2020-07-21 用于测试一个或多个被测器件的自动化测试设备、过程和计算机程序,其中不同的测试活动利用被测器件资源的子集 Pending CN114631031A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/EP2020/070599 WO2022017589A1 (en) 2020-07-21 2020-07-21 An automated test equipment, a process and a computer program for testing one or more devices under test, wherein different test activities make use of subsets of the device under test resources

Publications (1)

Publication Number Publication Date
CN114631031A true CN114631031A (zh) 2022-06-14

Family

ID=71786920

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080076051.6A Pending CN114631031A (zh) 2020-07-21 2020-07-21 用于测试一个或多个被测器件的自动化测试设备、过程和计算机程序,其中不同的测试活动利用被测器件资源的子集

Country Status (7)

Country Link
US (1) US20220253375A1 (zh)
JP (1) JP2023534966A (zh)
KR (1) KR20230038407A (zh)
CN (1) CN114631031A (zh)
DE (1) DE112020007444T5 (zh)
TW (1) TWI781634B (zh)
WO (1) WO2022017589A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116774017A (zh) * 2023-08-22 2023-09-19 南京宏泰半导体科技股份有限公司 一种基于机器学习的芯片测试效率提升系统及方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11010281B1 (en) * 2020-10-12 2021-05-18 Coupang Corp. Systems and methods for local randomization distribution of test datasets
US20240085477A1 (en) * 2022-09-09 2024-03-14 Cisco Technology, Inc. Stress-testing electrical components using telemetry modeling

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6801869B2 (en) * 2000-02-22 2004-10-05 Mccord Don Method and system for wafer and device-level testing of an integrated circuit
US6618682B2 (en) * 2001-04-20 2003-09-09 International Business Machines Corporation Method for test optimization using historical and actual fabrication test data
US7823128B2 (en) * 2004-04-19 2010-10-26 Verigy (Singapore) Pte. Ltd. Apparatus, system and/or method for combining multiple tests to a single test in a multiple independent port test environment
US7809520B2 (en) * 2007-11-05 2010-10-05 Advantest Corporation Test equipment, method for loading test plan and program product
US9959186B2 (en) * 2012-11-19 2018-05-01 Teradyne, Inc. Debugging in a semiconductor device test environment
US9274911B2 (en) * 2013-02-21 2016-03-01 Advantest Corporation Using shared pins in a concurrent test execution environment
US9310427B2 (en) * 2013-07-24 2016-04-12 Advantest Corporation High speed tester communication interface between test slice and trays
US9465071B2 (en) * 2014-03-04 2016-10-11 Mediatek Inc. Method and apparatus for generating featured scan pattern
US9588177B1 (en) * 2016-01-05 2017-03-07 International Business Machines Corporation Optimizing generation of test configurations for built-in self-testing
US10451668B2 (en) * 2017-04-28 2019-10-22 Advantest Corporation Test program flow control
US10557886B2 (en) * 2017-04-28 2020-02-11 Advantest Corporation Test system supporting multiple users using different applications
DE102017117322A1 (de) * 2017-07-31 2019-01-31 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauelementes mittels computergestütztem Entwurf von Testszenarien
US10948540B2 (en) * 2018-07-27 2021-03-16 Advantest Corporation Integrated protocol analyzer configured within automated test equipment (ate) hardware
US10746790B1 (en) * 2019-03-25 2020-08-18 International Business Machines Corporation Constrained pseudorandom test pattern for in-system logic built-in self-test
DE102019111881A1 (de) * 2019-05-07 2020-11-12 Infineon Technologies Ag Verfahren und vorrichtung zum senden von daten gemäss einem signalzeitablauf

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116774017A (zh) * 2023-08-22 2023-09-19 南京宏泰半导体科技股份有限公司 一种基于机器学习的芯片测试效率提升系统及方法

Also Published As

Publication number Publication date
JP2023534966A (ja) 2023-08-15
TW202206835A (zh) 2022-02-16
WO2022017589A1 (en) 2022-01-27
KR20230038407A (ko) 2023-03-20
US20220253375A1 (en) 2022-08-11
DE112020007444T5 (de) 2023-06-15
TWI781634B (zh) 2022-10-21

Similar Documents

Publication Publication Date Title
US20190311290A1 (en) Deep Learning Based Test Compression Analyzer
TWI781634B (zh) 用以測試一或多個受測裝置之自動化測試裝備、方法及電腦程式,其中不同測試活動使用受測裝置資源之子集
US5515384A (en) Method and system of fault diagnosis of application specific electronic circuits
US10495691B2 (en) System architecture method and apparatus for adaptive hardware fault detection with hardware metrics subsystem
US9128150B2 (en) On-chip detection of types of operations tested by an LBIST
CN101169465B (zh) 基于模型化和非模型化错误的重复测试生成和诊断方法
US7831863B2 (en) Method for enhancing the diagnostic accuracy of a VLSI chip
US10768230B2 (en) Built-in device testing of integrated circuits
WO2007051001A1 (en) Method to locate logic errors and defects in digital circuits
US20040216061A1 (en) Embeddable method and apparatus for functional pattern testing of repeatable program instruction-driven logic circuits via signal signature generation
Polian et al. Exploring the mysteries of system-level test
US7228262B2 (en) Semiconductor integrated circuit verification system
US9864004B1 (en) System and method for diagnosing failure locations in electronic circuits
US6707313B1 (en) Systems and methods for testing integrated circuits
Appello et al. System-level test: State of the art and challenges
US20020116675A1 (en) Method and system for determining common failure modes for integrated circuits
JP2006105997A (ja) 電子デバイスにスキャンパターンを提供する方法および装置
JP2006500695A (ja) ソフトウェアの双方向プロービング
da Silva et al. Determined-Safe Faults Identification: A step towards ISO26262 hardware compliant designs
US9885752B2 (en) Test apparatus for generating reference scan chain test data and test system
US10963612B2 (en) Scan cell architecture for improving test coverage and reducing test application time
Chillarige et al. High throughput multiple device diagnosis system
US10338137B1 (en) Highly accurate defect identification and prioritization of fault locations
US10247776B2 (en) Structurally assisted functional test and diagnostics for integrated circuits
Gurumurthy et al. Comparing the effectiveness of cache-resident tests against cycleaccurate deterministic functional patterns

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination