CN114556210A - 在校正光刻掩模中使用掩模制造模型 - Google Patents

在校正光刻掩模中使用掩模制造模型 Download PDF

Info

Publication number
CN114556210A
CN114556210A CN202080072256.7A CN202080072256A CN114556210A CN 114556210 A CN114556210 A CN 114556210A CN 202080072256 A CN202080072256 A CN 202080072256A CN 114556210 A CN114556210 A CN 114556210A
Authority
CN
China
Prior art keywords
mask
lithographic
design
model
estimating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080072256.7A
Other languages
English (en)
Inventor
L·S·梅尔文三世
K·J·胡克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Priority claimed from PCT/US2020/058609 external-priority patent/WO2021091838A1/en
Publication of CN114556210A publication Critical patent/CN114556210A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

光刻过程通过光刻掩模的设计和光刻配置的描述来描述,其可以包括光刻源、收集/照射光学器件、投影光学器件、抗蚀剂和/或后续制造步骤。实际的光刻过程使用从掩模设计制造的光刻掩模,该光刻掩模可以与标称掩模设计不同。掩模制造模型对从掩模设计制造光刻掩模的过程进行建模。通常,这是一种电子束(e‑beam)过程,该过程包括电子束曝光掩模坯上的抗蚀剂、处理经曝光的抗蚀剂以形成图案化抗蚀剂、以及利用图案化抗蚀剂蚀刻掩模坯。掩模制造模型通常与其他过程模型一起用于估计光刻过程的结果。然后基于仿真结果将掩模校正应用于掩模设计。

Description

在校正光刻掩模中使用掩模制造模型
相关申请的交叉引用
本申请要求于2019年11月4日提交的美国临时专利申请号62/930134,“SimulatedLithography Mask in OPC Correction”的优先权,所有上述申请的主题通过引用以其整体并入本文。
技术领域
本公开涉及光刻(又名光刻术),并且更具体地,涉及对掩模制造进行建模以便改进光刻掩模的设计。
背景技术
半导体晶片的制造中的一个步骤涉及光刻。在通常的光刻过程中,源产生光,光被收集/照射光学器件收集和引导以照射光刻掩模。投影光学器件将由被照射掩模产生的图案中继到晶片上,根据照射图案将晶片上的抗蚀剂曝光。然后将图案化的抗蚀剂用于在晶片上制造结构的过程中。
各种技术旨在改进光刻过程,包括光刻掩模的设计。在许多这些技术中,光刻掩模设计被用作一些过程模型的输入,然后该过程模型预测一些过程结果。该结果可以被用于修改光刻掩模的设计。在许多情况下,过程模型可以针对来自实际制造实验的数据进行回归。包含测试图案的不同光刻掩模设计贯穿光刻过程。所得到的结构被测量并且用于校准过程模型。
当前的过程模型通常不直接解决在制造掩模本身期间可能出现的效应。相反,光刻掩模设计被用作过程模型的输入,并且掩模制造的任何效应与其他过程效应集总在一起,并且可能通过针对经验数据的回归来考虑。然而,随着光刻向更短的波长范围(例如,在大约13.3nm至13.7nm的极紫外(EUV))和更小的几何形状(例如,10nm、7nm和更小的技术节点,具有大约20nm、14nm和更小的最小特征尺寸)移动,对这些掩模制造效应的敏感性增加,并且常规的集总方法可能导致次优的掩模设计。
发明内容
某些方面涉及一种用于改进光刻掩模设计的方法。光刻过程通过光刻掩模的设计和光刻配置的描述来描述,其可以包括光刻源、收集/照射光学器件、投影光学器件、抗蚀剂和/或后续制造步骤。实际的光刻过程使用从掩模设计制造的光刻掩模,该光刻掩模可以与标称掩模设计不同。掩模制造模型对从掩模设计制造光刻掩模的过程进行建模。通常,这是一种电子束(e-beam)过程,该过程包括电子束曝光掩模坯上的抗蚀剂、处理经曝光的抗蚀剂以形成图案化抗蚀剂、以及根据图案化抗蚀剂蚀刻掩模坯上的材料。掩模制造模型通常与其他过程模型一起用于估计光刻过程的结果,例如照射晶片的空间像、晶片上的经印刷的图案或经印刷的图案的关键尺寸。然后基于估计结果将掩模校正应用于掩模设计。这个过程可以针对多次迭代被重复。
其他方面包括与上述任一项相关的部件、设备、系统、改进、方法、过程、应用、计算机可读介质和其他技术。
附图说明
从下面给出的详细描述以及从本公开的实施例的附图将更全面地理解本公开。附图用于提供对本公开的实施例的知识和理解,并且不将本公开的范围限制于这些特定实施例。此外,附图不一定按比例绘制。
图1描绘了根据本公开的一些实施例的用于改进光刻掩模的设计的流程图。
图2A描绘了适于与本公开的实施例一起使用的掩模制造过程。
图2B描绘了适于与本公开的实施例一起使用的EUV光刻过程。
根据本公开的实施例,图3A描绘了所绘制的掩模设计,图3B描绘了经校正的掩模设计,并且图3C描绘了经印刷的掩模图案。
图3D描绘了图3B的经校正的掩模设计和图3C的经印刷的掩模图案的比较。
图4描绘了包括掩模制造过程的光刻过程的仿真。
图5描绘了根据本公开的一些实施例的用于改进光刻掩模的设计的流程图。
图6描绘了根据本公开的一些实施例的用于改进光刻掩模的设计的流程图,其包括掩模误差校正。
图7描绘了根据本公开的一些实施例的在集成电路的设计和制造期间使用的各种过程的流程图。
图8描绘了本公开的实施例可以在其中操作的示例计算机系统的抽象图。
具体实施方式
本公开的方面涉及使用掩模制造模型来校正光刻掩模。随着光刻向更短的波长范围(例如,大约13.3nm至13.7nm的EUV)和更小的几何形状(例如,10nm、7nm和更小的技术节点,具有20nm、14nm和更小的特征尺寸)移动,设计光刻掩模和仿真光刻掩模在集成电路制造中的使用的过程变得更加复杂。在较长波长和较大几何形状下不存在或可忽略的效应不再可以被忽略。例如,对于相同能量的曝光,较高的光子能量导致光子计数较低。这可能导致以前可忽略或不存在的随机缺陷机制。
光刻掩模的设计是整个设计过程的重要部分。通常,掩模设计使用掩模校正技术。首先产生“理想的”或“所绘制的”掩模设计。然而,所绘制的掩模设计没有考虑先进技术节点下存在的亚波长、散射和其他过程效应。因此,所绘制的掩模设计被校正,以创建“经校正的”掩模设计,当“经校正的”掩模设计被用于实际光刻过程时,将产生接近所绘制的设计的结果。掩模校正通常被应用于全芯片设计。各种过程模型可以被用作掩模校正过程的一部分。然而,这些过程模型通常不包括针对制造掩模的过程模型,并且掩模制造过程在先进技术节点下可能产生不可忽略的效应。
在本公开的各种实施例中,掩模制造模型被结合到掩模校正过程中。使用单独的掩模制造模型,而不是将掩模制造的效应集总到更通用的过程模型中,可以增加建模的准确性并且改进整体结果。在一些情况下,单独的掩模制造模型将掩模变化从作为随机效应处理带到作为可以被校正的系统影响处理。这个改变的一个可能影响包括更准确的校正,该校正减少了制造缺陷数(诸如断线和短路)和线边缘粗糙度(LER)。这改进了晶片上的产量并且减少了随机变化,诸如关键尺寸(CD)的变化,导致更均匀的器件性能。
图1描绘了根据本公开的一些实施例的用于改进光刻掩模的设计的流程图。该示例过程是迭代的并且将掩模校正150应用于光刻掩模的当前设计110,从而改进掩模设计直到达到最终设计190。迭代从光刻掩模的当前设计110开始。使用当前掩模设计来仿真130关注的光刻过程。该仿真基于用于光刻过程的模型,该模型包括掩模制造模型135并且通常还包括用于光刻过程的其他部分的其他模型。光刻过程的建模130针对当前掩模设计产生一些结果139,诸如照射晶片的空间像、晶片上的经印刷的图案或经印刷的图案的关键尺寸(CD)。结果还可以考虑不同的操作条件,诸如在一系列曝光和散焦条件下的CD。结果还可以包括所计算的量或所导出的指标,诸如归一化图像对数斜率(NILS=w d(lnI)/dx,其中w是归一化线宽,d(lnI)/dx是空间像强度的对数的导数)和掩模误差增强因子(MEEF=d(CDresist)/d(CDmask),其中CDresist是抗蚀剂中的结构的CD,并且CDmask是掩模上的对应图案的CD)。做出140是否继续更多迭代的判决。如果是,则将掩模校正150应用于当前掩模设计以进一步改进设计,并且执行附加的迭代。如果否,则最终掩模设计190被确定。该过程也可以非迭代地运行。
将参考图2和图3更详细地解释图1的过程。图2A描绘了用于从掩模设计制造光刻掩模的过程,并且图2B描绘了使用光刻掩模的极紫外光刻过程。在图2A中,掩模设计202用于控制电子束(e-beam)写入器204。掩模坯208被涂覆有电子束抗蚀剂。为了创建EUV光刻掩模,掩模坯通常是衬底,该衬底涂覆有形成布拉格反射器的交替的Mo层和Si层,然后是吸收器。吸收器的示例是Ta的化合物,例如某种形式的TaBON。也可以存在盖层,诸如Ru。
电子束写入器204控制电子束205,以根据掩模设计202的图案来曝光抗蚀剂。抗蚀剂被显影,从而在掩模坯208上产生抗蚀剂图案。下面的坯208的材料然后被处理。例如,对于EUV掩模,下面的坯可以包含被吸收层覆盖的多层反射器。在已经去除抗蚀剂的地方,吸收性材料被暴露并且可以被蚀刻掉以暴露下面的反射器,从而创建反射性EUV光刻掩模。
然后,所得到的掩模被用作图2B中所示的光刻配置中的光刻掩模230。在该系统中,源210产生EUV光,该EUV光被收集/照射光学器件220收集和引导以照射掩模230。投影光学器件240将由被照射掩模产生的图案中继到晶片250上,根据照射图案曝光晶片上的抗蚀剂。经曝光的抗蚀剂然后被显影,在晶片上产生图案化的抗蚀剂。这用于例如通过沉积、掺杂、蚀刻或其他过程而在晶片上制造结构。
在图2B中,光在EUV波长范围内,大约13.5nm或在13.3nm至13.7nm范围内。在这些波长处,部件通常是反射性的,而不是透射性的。掩模230是反射性掩模,并且光学器件220、240也是反射性的和离轴的。这仅是一个示例。还可以使用其他类型的光刻系统,包括在其他波长处,使用透射性掩模和/或光学器件,以及使用正抗蚀剂或负抗蚀剂。
注意,图2A和图2B中存在两种不同的制造过程。图2A示出了光刻掩模的制造。然后,光刻掩模被用作图2B的制造过程中的一个部件。
返回到图1,图3A至图3D描绘了图1的过程中的不同掩模。图1的过程通常从光刻掩模的“所绘制的”设计开始,该“所绘制的”设计表示在晶片上制造的所得到的结构的理想形状。图3A描绘了所绘制的掩模设计。所绘制的设计通常是曼哈顿几何形状(即,边缘仅是水平的和竖直的)或有时附加地具有45(和135)度角的边缘。图3B描绘了经校正的掩模设计——即,已经应用掩模校正之后的掩模设计。经校正的掩模设计仍然是曼哈顿几何形状,但边缘具有更加复杂的形状。形状的各个部分比所绘制的设计中的更厚或更薄,以便在将光刻掩模投影到晶片上时考虑衍射、散射和其他效应。
图3A和图3B两者的掩模设计可以被输入到图2A的掩模写入器204以制造实际的光刻掩模。然而,掩模制造过程也具有多个效应,使得实际掩模(“经印刷的”掩模)上的图案将与输入到掩模写入器的掩模设计不同。图3C描绘了由图3B的掩模设计产生的经印刷的掩模。图3D比较了图3B的掩模设计和图3C的所得到的经印刷的掩模图案。掩模设计的锋利边缘在印刷图案中已经被掩模制造过程平滑。图1中的掩模制造模型135考虑了由掩模制造过程引入的效应。
图4描绘了图2A和图2B中所示的包括掩模制造过程的过程的仿真。图2A的掩模制造过程由模型405表示。输入是掩模设计402,诸如在图3B中,并且输出是对应的经印刷的掩模图案432,诸如在图3C中。如果使用电子束过程来制造光刻掩模,则掩模制造模型405可以考虑电子束曝光掩模坯上的抗蚀剂、处理曝光的抗蚀剂以形成图案化抗蚀剂、和/或利用图案化抗蚀剂蚀刻掩模坯上的层。在掩模制造期间遇到的效应可以包括背散射电子、长程蚀刻效应、蚀刻偏置和微负载。这些效应可以通过仿真基础过程或通过以其他方式(诸如基于经验数据随机地)对效应进行建模而被考虑。在一种方法中,掩模制造模型从高斯内核的组合被构建。这是电子束的合理近似,但对蚀刻过程影响不大。针对每个内核的高斯参数(半径、西格玛)针对所测量的掩模数据进行回归,以便模型对经验数据产生合理拟合。
图2B的光刻过程的仿真由框的右列示出。照射模型422对图2B的源210(包括源掩模)和照射光学器件220进行建模。这些用于预测照射掩模的光图案425。模型442考虑了光刻掩模230(图4中的掩模432)和投影光学器件240的效应,以预测曝光抗蚀剂的照射445。这有时被称为空间像445。抗蚀剂模型452可以包括诸如从空间像曝光抗蚀剂、化学显影和后续的去除(无论是通过蚀刻还是通过其他过程)的效应。抗蚀剂的去除在晶片上留下图案化抗蚀剂454的层。然后,晶片厂模型456对后续处理(例如蚀刻、沉积、掺杂、注入等)进行建模,从而得到晶片上的器件结构458。
为了方便起见,图4中示出了单独的框以对应于物理部件或过程,但是模型不需要以该方式被实现。例如,照射模型422和抗蚀剂模型452被描绘为由掩模光学模型442分开的两个单独模型。然而,实际实现可以使用或可以不使用单独的模型422、452。在一些情况下,源模型422、来自元件442的投影光学器件和来自元件452的抗蚀剂曝光可以被组合成单个模型,该模型预测从经印刷的掩模图案432得到的图案化抗蚀剂或等效的空间像。这种单个模型包括来自源、光学器件和抗蚀剂的效应。
在一些情况下,整体模型是用于全芯片评估的紧凑模型。紧凑模型被设计成以快速方式处理整个芯片所需的掩模设计。可能需要多达1018或更多的仿真来在诸如微处理器的设备上完成全芯片校正。该模型通常仿真光刻过程,包括掩模光学效应、光学器件和光致抗蚀剂处理。该模型还可以包括蚀刻过程。
模型还可以包括随机模型。例如,在第一原理方法中,可以随机化光刻过程的部件,诸如光子分布、二次电子分布、光酸生成剂(PAG)分布、猝灭剂分布和抑制剂分布。这些是各种伪随机数。这会产生由于诸如局部区域中的光子过多或局部区域中的酸过少的问题而形成缺陷的情况。
图4中所示的模型可以是基于经验数据的。例如,掩模制造模型可以是参数化模型,其中参数基于针对经验数据的回归而被确定。可以对任何其他模型采用相同的方法。光刻过程的紧凑模型通常是基于经验数据的。针对不同的掩模设计收集测量数据。这些可以是具有或者不具有校正的掩模设计。在晶片上制造的所得到的图案被测量。这些通常是对光致抗蚀剂和/或蚀刻结构的关键尺寸扫描电子显微镜(CDSEM)测量。也可以使用其他测量,诸如原子力显微镜(AFM)。
分开的模型可以针对不同的经验数据进行回归,这与传统的集总模型方法不同,在集总模型方法中,在一个模型中考虑所有效应并且针对共同的经验数据集合进行回归。例如,掩模制造模型将针对由掩模制造商收集的经验数据进行回归,而其他光刻过程模型将针对由晶片制造商(代工厂)收集的经验数据进行回归。
图案化抗蚀剂454的质量的一个量度是关键尺寸(CD)。CD是图案化抗蚀剂中重要特征的尺寸。通常,CD是印刷在抗蚀剂中的最小线宽或空间宽度。因此,CD是抗蚀剂和光刻过程的分辨率的度量。再次参考图1,图1中的仿真结果可以包括CD。光刻仿真130可以使用图4中所示的任何模型来预测给定光刻配置和掩模设计的CD。
在图1中,也可以使用不同类型的掩模校正150。掩模校正包括光学邻近校正、亚分辨率辅助功能、相移掩模、逆光刻技术和其他类型的分辨率增强技术。在光学邻近校正(OPC)中,掩模设计中的几何形状基于预测结果而被干扰。图3B是使用OPC校正的掩模设计的一个示例。在亚分辨率辅助特征中,亚波长特征被添加到掩模设计或从掩模设计被移除,以引入有益的衍射和散射。在相移掩模中,不同的掩模形状引入不同的相移量,以在所得到的照射图案中引入有益的干扰。
掩模校正可以通过“校正集”来实现,“校正集”是作为脚本或程序的计算机指令集,该脚本或程序执行对掩模设计的图案操纵以实现掩模校正。
迭代可以以不同的规则结束140。一个规则是基于掩模的性能。一旦仿真结果达到可接受水平或改进下降到低于某个比率,迭代就结束。备选地,过程可以运行固定数目的迭代。
图5描绘了根据本公开的一些实施例的用于改进光刻掩模的设计的流程图。在图5中,掩模制造模型535被用于从当前掩模设计510明确地计算经印刷的掩模图案536。然后,经印刷的掩模图案536被用作其余过程模型537的掩模图案,从而生成仿真结果539。例如,可以使用经印刷的掩模图案536而不是掩模设计510作为光学器件模型的输入,来估计空间像和对应的CD。注意,如果掩模设计510具有直线几何形状,则经印刷的掩模图案536通常将包括弯曲形状,因为尖拐角被圆化。光学器件模型537将必须适应弯曲的形状。掩模校正550被迭代地应用540直到达到最终掩模设计590。
此外,光刻掩模和空间像在物理系统中可以处于不同的放大率。例如,当成像到晶片上时,投影光学器件可以以4:1的因子将光刻掩模缩小。在一种方法中,掩模设计510在设计数据库中以晶片比例表示。为了对掩模制造过程进行建模,掩模设计510被放大4倍,掩模制造模型535被应用,并且然后所得到的经印刷的掩模图案536被缩小4倍以返回到晶片比例。
图6描绘了根据本公开的一些实施例的用于改进光刻掩模设计的流程图,其包括掩模误差校正。掩模误差校正636作为图2A中所示的掩模制造过程的一部分被应用。不是利用掩模设计510来驱动电子束写入器204,而是该设计数据可以首先被校正,并且然后被发送到电子束写入器。该掩模误差校正636也可以在图6中所示的仿真中被考虑。注意掩模误差校正636和掩模校正550不相同。掩模误差校正636是对由电子束写入器使用的掩模数据的校正,以考虑图2A的掩模制造过程中的效应。掩模校正550是对掩模设计的校正,以考虑图2B的光刻过程中的效应。
下面的表1示出了将具有单独掩模制造模型的OPC(“M+OPC”校正)与不具有单独掩模制造模型(“OPC”校正)的传统OPC进行比较的结果。比较了四种不同的案例#1-4。Avg CD和St Dev CD是所测量的CD的平均和标准偏差。计数是针对该案例测量的特征数目,并且故障是该计数内的故障数目。故障率在最后一列中被表示为X分之一。结果显示,M+OPC方法的缺陷率减少大约50%,并且线边缘粗糙度(CD的St Dev)减小大约20%。
表1:具有和不具有掩模制造模型的OPC结果的比较
案例 校正 Avg CD St Dev CD 计数 故障 比率(X分之一)
1 M&OPC 14.3 2.69 1946 6 321
1 OPC 13.7 3.1 4750 22 216
2 M&OPC 15.0 2.35 1964 2 982
2 OPC 14.8 2.66 4870 10 487
3 M&OPC 14.41 2.66 9838 26 378
3 OPC 13.6 3.93 4690 52 90
4 M&OPC 15.2 2.39 9896 8 1237
4 OPC 14.76 2.71 4868 9 541
图7图示了在诸如集成电路的制品的设计、验证和制造期间使用的过程700的示例集合,以转换和验证表示集成电路的设计数据和指令。这些过程中的每个过程可以被结构化和使能为多个模块或操作。术语‘EDA’表示术语‘电子设计自动化’。这些过程从利用设计者提供的信息创建产品构思710开始,该信息被转换以使用EDA过程712的集合来产生制品。在完成设计时,设计被流片734,这是将集成电路的图稿(例如,几何图案)发送到制造工厂以制造掩模组的时间,然后掩模组被用于制造集成电路。在流片之后,制造736半导体裸片,并且执行封装和组装过程738以生产成品集成电路740。
电路或电子结构的规格范围可能从低级晶体管材料布局到高级描述语言。使用诸如VHDL、Verilog、SystemVerilog、SystemC、MyHDL或OpenVera的硬件描述语言(‘HDL’),可以使用高级抽象来设计电路和系统。HDL描述可以被转换为逻辑水平的寄存器传输级(‘RTL’)描述、门级描述、布局级描述或掩模级描述。每个较低的抽象水平(即不太抽象的描述)将更多有用的细节添加到设计描述中,例如,针对包括该描述的模块的更多细节。较低的抽象水平(即不太抽象的描述)可以由计算机生成,从设计库导出或由另一个设计自动化过程创建。用于指定更多详细描述的较低抽象语言水平的规范语言的一个示例是SPICE,它用于具有许多模拟部件的电路的详细描述。在每个抽象水平处的描述被使能,以供该层的对应工具使用(例如形式验证工具)。设计过程可以使用图7中描述的序列。所描述的过程由EDA产品(或工具)使能。
在系统设计714期间,指定要被制造的集成电路的功能。可以针对期望特性(诸如功耗、性能、面积(物理和/或代码行)和成本降低等)对设计进行优化。在该阶段,可以将设计划分为不同类型的模块或部件。
在逻辑设计和功能验证717期间,以一种或多种描述语言指定电路中的模块或部件,并且检查该规格的功能准确性。例如,可以验证电路的部件,以生成与所设计的电路或系统的规格要求进行匹配的输出。功能验证可以使用仿真器和其他程序,诸如测试台生成器、静态HDL检查器和正式验证器。在一些实施例中,被称为‘仿真器’或‘原型系统’的部件的特殊系统被用于加速功能验证。
在用于测试的综合和设计718期间,HDL代码被转换为网表。在一些实施例中,网表可以是图形结构,其中图形结构的边缘表示电路的部件,并且其中图形结构的节点表示部件如何被互连。HDL代码和网表两者是制造的分层产品,其可以由EDA产品使用来验证:集成电路在被制造时是否根据指定的设计执行。可以针对目标半导体制造技术优化网表。附加地,成品集成电路可以被测试,以验证集成电路是否满足规格的要求。
在网表验证720期间,检查网表是否符合时序约束以及是否与HDL代码相对应。在设计规划722期间,构造并分析集成电路的总体平面图,以进行时序和顶层布线。
在布局或物理实现724期间,进行物理放置(电路部件(诸如晶体管或电容器)的放置)和布线(电路部件通过多个导体的连接),并且可以执行从库中选择单元以使能特定的逻辑功能。如本文所使用的,术语‘单元’可以指定晶体管、其他部件和互连的集合,其提供布尔逻辑功能(例如,AND、OR、NOT、XOR)或存储功能(诸如,触发器或锁存器)。如本文所使用的,电路‘块’可以指代两个以上的单元。单元和电路块两者可以被称为模块或部件,并且可以被使能为物理结构和仿真两者。诸如大小的参数被指定为用于所选择的单元格(基于‘标准单元’),并且使得在数据库中可访问,以供EDA产品使用。
在分析和提取726期间,在布局水平验证电路功能,该布局水平允许对布局设计的改进。在物理验证728期间,检查布局设计以确保制造约束(诸如DRC约束、电气约束、光刻约束)是正确的,并且确保电路功能与HDL设计规格匹配。在解析增强730期间,布局的几何形状被转变以改善电路设计被制造的方式。
在流片期间,创建数据以用于(如果合适,在应用光刻增强之后)生产光刻掩模。在掩模数据准备732期间,‘流片’数据用于产生光刻掩模,该光刻掩模用于生产成品集成电路。
计算机系统(诸如,图8的计算机系统800)的存储子系统可以用于存储程序或数据结构,该程序和数据结构由本文所述的一些或所有EDA产品使用,并且由用于开发库的单元的产品以及用于使用该库的物理和逻辑设计的产品使用。
图8图示了计算机系统800的示例机器,在其中可以执行用于使该机器执行本文所讨论的方法中的任何一个或多个方法的指令集。在备选实施方式中,该机器可以连接(例如,联网)到LAN、内联网、外联网和/或因特网中的其他机器。该机器可以在客户端-服务器网络环境中以服务器或客户端机器的能力操作,可以作为对等(或分布式)网络环境中的对等机器操作,也可以作为云计算基础架构或环境中的服务器或客户端计算机操作。
机器可以是个人计算机(PC)、平板电脑、机顶盒(STB)、个人数字助理(PDA)、蜂窝电话、网络设备、服务器、网络路由器、交换机或网桥,或能够执行指令集(顺序指令或其他指令)的任何机器,指令集指定要由该机器执行的行动。此外,虽然图示了单个机器,但是术语“机器”也应当被理解为包括机器的任何集合,这些机器单独地或共同地执行一组(或多组)指令以执行本文讨论的任何一个或多个方法。
示例计算机系统800包括处理设备802、主存储器804(例如,只读存储器(ROM)、闪存、诸如同步DRAM(SDRAM)的动态随机存取存储器(DRAM))、静态存储器806(例如,闪存、静态随机存取存储器(SRAM)等))以及数据存储设备818,它们经由总线830彼此通信。
处理设备802表示一个或多个处理器,诸如微处理器、中央处理单元等。更具体地,处理设备可以是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器,或者是实现其他指令集的处理器,或者是实现指令集的组合的处理器。处理设备802还可以是一个或多个专用处理设备,诸如专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器等。处理设备802可以被配置成执行指令826以执行本文描述的操作和步骤。
计算机系统800可以还包括网络接口设备808,以通过网络820进行通信。计算机系统800还可以包括视频显示单元810(例如,液晶显示器(LCD)或阴极射线管(CRT))、字母数字输入设备812(例如键盘)、光标控制设备814(例如鼠标)、图形处理单元822、信号生成设备816(例如扬声器)、图形处理单元822、视频处理单元828和音频处理单元832。
数据存储设备818可以包括机器可读存储介质824(也被称为非暂态计算机可读介质),其上存储着体现本文所述的方法或功能中的任何一个或多个方法或功能的一个或多个指令集826或软件。在计算机系统800执行指令826的过程中,指令826也可以全部或至少部分地驻留在主存储器804内和/或驻留在处理设备802内,主存储器804和处理设备802也构成机器可读存储介质。
在一些实施方式中,指令826包括用于实现与本公开相对应的功能的指令。尽管在示例实施方式中将机器可读存储介质824示为单个介质,但是术语“机器可读存储介质”应当被认为包括单个介质或多个介质(例如,中心化或分布式数据库,和/或相关联的缓存和服务器)来存储一个或多个指令集。术语“机器可读存储介质”也应当被认为包括能够存储或编码指令集的任何介质,该指令集用于由机器执行并且使机器和处理设备802执行本公开的方法中的任何一个或多个。因此,术语“机器可读存储介质”应当被认为包括但不限于固态存储器、光学介质和磁性介质。
已经根据对计算机存储器内的数据位的操作的算法和符号表示,呈现了前述详细描述的一些部分。这些算法描述和表示是数据处理领域的技术人员用来最有效地向本领域的其他技术人员传达其工作实质的方式。算法可以是导致期望结果的操作的序列。这些操作是需要对物理量进行物理操纵的操作。这种量可以采取能够被存储、组合、比较以及以其他方式操纵的电或磁信号的形式。这种信号可以被称为位、值、元素、符号、字符、项、数字等。
但是,应当记住,所有这些和类似术语均应当与适当的物理量相关联,并且仅仅是应用于这些量的方便标签。除非从本公开中另外明确指出,否则应当理解,贯穿本描述,某些术语指代计算机系统或类似电子计算设备的行动和过程,其将计算机系统的寄存器内的表示为物理(电子)量的数据操纵和转换为其他数据,该其他数据类似地被表示为计算机系统存储器或寄存器或其他这种信息存储设备内的物理量。
本公开还涉及用于执行本文中的操作的装置。该装置可以被特别构造以用于预期目的,或者它可以包括由计算机中存储的计算机程序选择性地激活或重新配置的计算机。这种计算机程序可以被存储在计算机可读存储介质中,诸如但不限于任何类型的磁盘(包括软盘、光盘、CD-ROM和磁光盘)、只读存储器(ROM)、随机存取存储器(RAM)、EPROM、EEPROM、磁卡或光学卡,或适于存储电子指令的任何类型的介质,每个均耦合到计算机系统总线。
本文提出的算法和显示不与任何特定的计算机或其他装置固有地相关。各种其他系统可以与根据本文的教导的程序一起使用,或者它可以被证明易于构造更专用的装置来执行该方法。另外,未参考任何特定编程语言来描述本公开。应当理解,可以使用各种编程语言来实现如本文所述的本公开的教导。
本公开可以被提供为计算机程序产品或软件,其可以包括其上存储有指令的机器可读介质,该指令可以用于对计算机系统(或其他电子设备)进行编程以执行根据本公开的过程。机器可读介质包括用于以机器(例如,计算机)可读的形式存储信息的任何机制。例如,机器可读(例如,计算机可读)介质包括机器(例如,计算机)可读存储介质,诸如只读存储器(“ROM”)、随机存取存储器(“RAM”)、磁盘存储介质、光学存储介质、闪存设备等。
在前述公开中,已经参考本公开的具体示例实施方式描述了本公开的实施方式。明显的是,在不脱离如所附权利要求中阐述的本公开的更广泛的精神和范围的情况下,可以对其进行各种修改。在本公开以单数形式指代一些元素的情况下,在附图中可以描绘一个以上的元素,并且相同的元素用相同的附图标记来标记。因此,本公开和附图应当被认为是说明性的而不是限制性的。

Claims (20)

1.一种方法,包括:
访问用于在光刻过程中使用的光刻掩模设计,其中所述光刻过程使用从所述光刻掩模设计制造的光刻掩模;
由处理器估计所述光刻过程的结果,其中估计所述结果是基于掩模制造模型的,所述掩模制造模型用于从所述光刻掩模设计制造所述光刻掩模;以及
基于所估计的结果,对所述光刻掩模设计应用掩模校正。
2.根据权利要求1所述的方法,其中所述光刻掩模是使用电子束过程来制造的;并且所述掩模制造模型考虑了电子束曝光掩模坯上的抗蚀剂、处理经曝光的抗蚀剂以形成图案化抗蚀剂、以及利用所述图案化抗蚀剂蚀刻所述掩模坯。
3.根据权利要求1所述的方法,其中所述光刻掩模是使用电子束过程来制造的;并且所述掩模制造模型考虑了用于制造所述光刻掩模的所述过程中的背散射电子、长程蚀刻效应、蚀刻偏置和微负载中的至少一项。
4.根据权利要求1所述的方法,其中估计所述光刻过程的所述结果还基于一个或多个附加过程模型,并且包括:
使用所述掩模制造模型来估计从所述光刻掩模设计制造的经印刷的掩模图案;以及
使用所述经印刷的掩模图案作为所述一个或多个附加过程模型的输入,来估计所述光刻过程的所述结果。
5.根据权利要求4所述的方法,其中所述光刻掩模设计由直线形状组成,并且所述经印刷的掩模图案包括弯曲形状。
6.根据权利要求4所述的方法,其中所述掩模制造模型是基于针对经验数据的回归的,并且所述附加过程模型中的至少一个附加过程模型是基于针对不同经验数据的单独回归的。
7.根据权利要求4所述的方法,其中所述掩模制造模型以一个比例被应用于估计所述经印刷的掩模图案,并且所估计的经印刷的掩模图案被缩小到较小比例以用作所述一个或多个附加过程模型的输入。
8.根据权利要求1所述的方法,其中所述方法针对多次迭代被重复,并且在每次迭代时应用所述掩模制造模型。
9.根据权利要求1所述的方法,其中所述掩模制造模型是基于高斯内核的。
10.根据权利要求1所述的方法,其中估计所述光刻过程的所述结果还基于由所述掩模制造引起的所述光刻掩模设计的掩模误差校正。
11.根据权利要求1所述的方法,其中所述结果包括空间像、经印刷的晶片图案和经印刷的晶片图案的关键尺寸中的至少一项。
12.根据权利要求1所述的方法,其中所述掩模校正包括光学邻近校正、亚分辨率辅助特征、相移掩模和逆光刻技术中的至少一项。
13.一种系统,包括:
存储器,存储指令和用于在光刻过程中使用的光刻掩模设计,其中所述光刻过程使用从所述光刻掩模设计制造的光刻掩模;以及
处理器,与所述存储器耦合并且执行所述指令,所述指令在被执行时使所述处理器:
估计所述光刻过程的结果,其中估计所述结果是基于掩模制造模型的,所述掩模制造模型用于从所述光刻掩模设计制造所述光刻掩模;以及
基于所估计的结果,对所述光刻掩模设计应用掩模校正。
14.根据权利要求13所述的系统,其中所述光刻过程在极紫外EUV波长范围和具有大约20nm或更小的特征尺寸的技术节点处操作。
15.根据权利要求13所述的系统,其中所述光刻掩模设计用于整个集成电路裸片。
16.根据权利要求13所述的系统,其中估计所述光刻过程的所述结果还基于一个或多个附加过程模型,所述掩模制造模型是基于针对经验数据的回归的,并且所述附加过程模型中的至少一个附加过程模型是基于针对不同经验数据的单独回归的。
17.根据权利要求13所述的系统,其中所述掩模校正包括光学邻近校正、亚分辨率辅助特征、相移掩模和逆光刻技术中的至少一项。
18.一种非暂态计算机可读介质,包括所存储的指令,当所述指令由处理器执行时使所述处理器:
访问用于在光刻过程中使用的光刻掩模设计,其中所述光刻过程使用从所述光刻掩模设计制造的光刻掩模;
估计所述光刻过程的结果,其中估计所述结果是基于掩模制造模型的,所述掩模制造模型用于从所述光刻掩模设计制造所述光刻掩模;以及
基于所估计的结果,对所述光刻掩模设计应用掩模校正。
19.根据权利要求18所述的非暂态计算机可读介质,其中所述掩模制造模型以一个比例被应用于估计所述经印刷的掩模图案,并且所估计的经印刷的掩模图案被缩小到较小比例以用作所述一个或多个附加过程模型的输入。
20.根据权利要求18所述的非暂态计算机可读介质,其中所述掩模制造模型是基于高斯内核的。
CN202080072256.7A 2019-11-04 2020-11-02 在校正光刻掩模中使用掩模制造模型 Pending CN114556210A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962930134P 2019-11-04 2019-11-04
US62/930,134 2019-11-04
PCT/US2020/058609 WO2021091838A1 (en) 2019-11-04 2020-11-02 Using mask fabrication models in correction of lithographic masks

Publications (1)

Publication Number Publication Date
CN114556210A true CN114556210A (zh) 2022-05-27

Family

ID=75686320

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080072256.7A Pending CN114556210A (zh) 2019-11-04 2020-11-02 在校正光刻掩模中使用掩模制造模型

Country Status (4)

Country Link
US (1) US11556052B2 (zh)
EP (1) EP4055444A1 (zh)
KR (1) KR20220092598A (zh)
CN (1) CN114556210A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11900042B2 (en) 2020-11-12 2024-02-13 Synopsys, Inc. Stochastic-aware lithographic models for mask synthesis
KR20220158146A (ko) * 2021-05-20 2022-11-30 삼성전자주식회사 반도체 장치의 제조를 위한 방법 및 컴퓨팅 장치

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62260335A (ja) * 1986-05-06 1987-11-12 Hitachi Ltd パタ−ン検査方法および装置
US7343271B2 (en) * 2003-10-27 2008-03-11 International Business Machines Corporation Incorporation of a phase map into fast model-based optical proximity correction simulation kernels to account for near and mid-range flare
US7297453B2 (en) * 2005-04-13 2007-11-20 Kla-Tencor Technologies Corporation Systems and methods for mitigating variances on a patterned wafer using a prediction model
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US8572517B2 (en) 2008-06-10 2013-10-29 Cadence Design Systems, Inc. System and method for modifying a data set of a photomask
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
US8464185B2 (en) 2008-11-24 2013-06-11 Mentor Graphics Corporation Electron beam simulation corner correction for optical lithography
US8402399B2 (en) * 2010-04-30 2013-03-19 International Business Machines Corporation Method and system for computing fourier series coefficients for mask layouts using FFT
US20120141924A1 (en) * 2010-07-01 2012-06-07 Sahouria Emile Y Multiresolution Mask Writing
US8443308B2 (en) * 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
US8719739B2 (en) * 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
KR102247563B1 (ko) * 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
EP2980646B1 (en) * 2014-07-30 2020-09-02 GenISys GmbH Process artefact compensation upon transfer of a mask layout onto a mask substrate
US9747408B2 (en) 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
US10460071B2 (en) * 2015-11-04 2019-10-29 D2S, Inc. Shaped beam lithography including temperature effects
EP3339957B1 (en) * 2016-12-20 2019-02-27 GenISys GmbH Process dose and process bias determination for beam lithography
US20220068594A1 (en) * 2017-04-13 2022-03-03 Fractilia, Llc Detection of probabilistic process windows
US10656532B2 (en) * 2017-04-13 2020-05-19 Fractilia, Llc Edge detection system and its use for optical proximity correction
US10664955B2 (en) * 2017-04-13 2020-05-26 Fractilia, Llc Edge detection system and its use for machine learning
US10386715B2 (en) * 2017-10-12 2019-08-20 Globalfoundries Inc. Methodology for post-integration awareness in optical proximity correction
US10942443B2 (en) * 2017-11-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask data synthesis and mask making
KR102535826B1 (ko) * 2017-11-28 2023-05-23 삼성전자주식회사 모니터링 매크로 제공 방법, OPC(Optical Proximity Correction) 방법 및 EUV(Extreme ultraviolet radiation) 마스크 제조 방법
US10838296B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Mask optimization process
US10657213B2 (en) 2017-12-22 2020-05-19 D2S, Inc. Modeling of a design in reticle enhancement technology
CN111788589A (zh) 2018-02-23 2020-10-16 Asml荷兰有限公司 训练用于计算光刻术的机器学习模型的方法
US10656528B1 (en) * 2018-10-05 2020-05-19 Synopsys, Inc. Lithographic mask functions to model the incident angles of a partially coherent illumination
KR102617197B1 (ko) * 2018-12-28 2023-12-27 에이에스엠엘 네델란즈 비.브이. 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
US11846889B2 (en) * 2019-03-08 2023-12-19 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
KR20210046905A (ko) * 2019-10-18 2021-04-29 삼성전자주식회사 오버레이 보정방법 및 그를 포함하는 반도체 소자의 제조방법

Also Published As

Publication number Publication date
EP4055444A1 (en) 2022-09-14
US20210132486A1 (en) 2021-05-06
KR20220092598A (ko) 2022-07-01
US11556052B2 (en) 2023-01-17

Similar Documents

Publication Publication Date Title
Spence Full-chip lithography simulation and design analysis: how OPC is changing IC design
US6470489B1 (en) Design rule checking system and method
US7194725B1 (en) System and method for design rule creation and selection
JP2009506359A (ja) 集積化されたopc検証ツール
EP1023641A1 (en) Design rule checking system and method
US11900042B2 (en) Stochastic-aware lithographic models for mask synthesis
TWI767340B (zh) 基於缺陷機率分佈和臨界尺寸變異的微影技術改進
US11475201B2 (en) Inclusion of stochastic behavior in source mask optimization
US11640490B2 (en) Source mask optimization by process defects prediction
US11468222B2 (en) Stochastic signal prediction in compact modeling
US11874597B2 (en) Stochastic optical proximity corrections
US11556052B2 (en) Using mask fabrication models in correction of lithographic masks
JP7443501B2 (ja) 欠陥確率分布および限界寸法変動に基づくリソグラフィ改良
CN116137899A (zh) 基于特征图像的三维掩模仿真
TWI795687B (zh) 用於改良微影光罩之設計之方法、系統及非暫時性電腦可讀媒體
US20230152683A1 (en) Mask Synthesis Integrating Mask Fabrication Effects and Wafer Lithography Effects
US11657207B2 (en) Wafer sensitivity determination and communication
US20220382144A1 (en) Machine learning for selecting initial source shapes for source mask optimization
TWI782372B (zh) 改善設計布局的方法及其半導體布局系統
US11651135B2 (en) Dose optimization techniques for mask synthesis tools
Suh et al. Merged contact OPC using pattern type specific modeling and correction

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination