CN114444428A - 带有背面电力轨的单元架构 - Google Patents

带有背面电力轨的单元架构 Download PDF

Info

Publication number
CN114444428A
CN114444428A CN202111302958.2A CN202111302958A CN114444428A CN 114444428 A CN114444428 A CN 114444428A CN 202111302958 A CN202111302958 A CN 202111302958A CN 114444428 A CN114444428 A CN 114444428A
Authority
CN
China
Prior art keywords
power supply
power
cell
rail
edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111302958.2A
Other languages
English (en)
Inventor
S·K·爱达希尔
小M·W·弗雷德里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ARM Ltd
Original Assignee
ARM Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ARM Ltd filed Critical ARM Ltd
Publication of CN114444428A publication Critical patent/CN114444428A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/373Design optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3947Routing global
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3953Routing detailed
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11881Power supply lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明题为“带有背面电力轨的单元架构”。本文所述的各种具体实施是指一种方法。该方法可被配置为合成具有带有电力供应轨的电力供应网的物理设计的标准单元。该方法可被配置为采用放置与布线工具,以便基于触及该标准单元中的每个标准单元的至少一个边缘的该电力供应网和该电力供应轨来限定该物理设计中的该标准单元中的每个标准单元的边缘类型。

Description

带有背面电力轨的单元架构
背景技术
本节旨在提供与理解本文所述的各种技术相关的信息。如本节的标题所暗示的,这是对相关技术的讨论,绝不应当暗示其是现有技术。一般来讲,相关技术可被认为是或可不被认为是现有技术。因此,应当理解,本节中的任何陈述均应按此意义来理解,并且不作为对现有技术的任何认可。
在半导体设计中,标准单元通常包括晶体管和互连结构,以实现用于设计的逻辑功能的各种数字电路。标准单元布局是指在使用电子设计自动化(EDA)工具的电路设计中以不同形式使用的数字电路的物理表示。在标准单元库中可提供不同的电路和功能的标准单元,并且标准单元可具有用于将其自身与标准单元库相关联的特性。这些特性连同设计规则一起有助于在设计块中的放置,并且每个标准单元具有相对于每行标准单元的行边界在固定位置处的电力供应轨和接地供应轨。这些电力轨和接地轨提供初级供电,并且当这些单元放置在块设计中时,在块中的标准单元的电力轨和接地轨连接到单个电力网和接地网。常规的EDA工具通常缺乏对连接到在相同的电力域/电压域中的不同的电力网或接地网的电力轨和/或接地轨的支持。另外,连接到标准单元的一些电力供应穿过金属引脚而不穿过电力轨/接地轨,并且具有标准单元的设计块需要在标准单元的指定区域中具有连续的电力轨/接地轨。因此,存在对改善标准单元的物理设计布局的需要。
附图说明
本文参考附图描述了各种技术的具体实施。然而,应当理解,附图仅示出了本文所述的各种具体实施,并且不旨在限制本文所述的各种技术的实施方案。
图1示出了根据本文所述的各种具体实施的用于物理设计中的内埋式电力轨(BPR)工艺技术的图。
图2示出了根据本文所述的各种具体实施的与背面电力分配网络(BPDN)架构相关联的图。
图3A-图3B示出了根据本文所述的各种具体实施的BPDN架构的其他图。
图4A-图4B示出了根据本文所述的各种具体实施的BPDN架构的其他图。
图5A-图5D示出了根据本文所述的各种具体实施的BPDN架构的其他图。
图6示出了根据本文所述的各种具体实施的BPDN架构的另一个图。
图7A-图7E示出了根据本文所述的各种具体实施的BPDN架构的其他图。
图8示出了根据本文所述的具体实施的用于以物理设计提供背面电力分配技术的系统的图。
图9示出了根据本文所述的各种具体实施的合成和放置与布线(PNR)过程的图。
图10示出了根据本文所述的各种具体实施的标准单元设计放置的图。
具体实施方式
本文所述的各种具体实施涉及用于在物理结构中实施各种高效背面电力供应和分配方法的芯片级集成电路(IC)设计方案和技术。本文所述的各种方案和技术可提供用于在标准单元架构中与背面电力分配网络(BPDN)的异构轨集成。在各种具体实施中,可高效地实现背面电力轨/接地轨的断开,以使用各种单元边缘类型和/或具有特殊填充单元的电力间隙单元来将标准单元正面轨连接到次级电力/接地供应。当用允许在晶片的背面上的电力轨/接地轨的各种工艺技术构建标准单元时,背面轨可能需要连续性的断开,以便向标准单元或该标准单元的至少一些部分提供次级电力/接地。背面轨的连续性断开可通过使用自动化放置规则和特殊单元来减少用于断开背面轨的面积开销,这可涉及基于各种限定的边缘类型对间隙单元和特殊填充单元的使用。
本文所述的各种方案和技术可应用于通过对于在初级电力轨中使用中断的一些单元设计过程实现在标准单元放置与布线(PNR)中的异构轨集成来强制在初级轨结构中的电力轨中断的各种单元设计过程。一些单元设计方法和技术也可结合有单轨或多轨配置,并且多行单元设计的一些变型可具有通向初级供应轨和/或次级供应轨的附加通路。一些新型单元类型可补充本文提供的单元设计技术,并且同一个网的一些电力轨段可对于彼此具有亲和力,并且可一起放置在PNR中以得到本文提供的各种想法的益处。
本文所述的各种方案和技术可通过当标准单元需要具有电隔离的结构(诸如例如不同电势NWELL、耦接到单元设计中不同于单元设计的初级供电的次级供电的电力供应轨)时利用用于单元设计工艺的专门设计的标准单元布局、结构和方法来实现面积高效的合成和放置与布线(PNR)过程。本文所述的各种方案和技术主要适用于支持背面电力分配网络(BPDN)并且具有通过内埋式通孔耦接到在正面上的晶体管以便中断初级电力轨和/或接地轨和以便插入次级电力段和/或接地轨段的背面电力轨(或内埋式电力轨)的半导体工艺。另外,本文所述的各种方案和技术可应用于具有正面电力轨以通过中断初级电力轨来插入次级轨段并且以便以面积高效的方式隔离不同电势的NWELL的半导体工艺。不同电势可指电压值和/或不同电源的差异。
本文将参考图1-图8详细地描述用于背面电力分配的物理布局设计技术的各种具体实施。
图1示出了根据本文所述的具体实施的用于物理设计中的内埋式电力轨(BPR)工艺技术104的图100。
在各种具体实施中,BPR工艺技术104可与具有各种集成电路(IC)部件的系统或设备一起实施,这些IC部件被布置和耦接在一起作为提供物理电路设计和/或相关结构的部分的组装或组合。在一些情况下,使用BPR工艺技术104设计、提供、制作和/或制造系统和/或设备的方法可涉及使用本文所述的各种IC电路部件,从而实施与其相关联的各种背面电力分配方案和技术。BPR工艺技术104可与单个芯片结构上的各种计算电路和/或相关制造部件集成,并且BPR工艺技术104可实施在用于汽车、电子、移动、服务器和物联网(IoT)应用(包括遥感器节点)的各种嵌入式系统中。
如图1所示,BPR工艺技术104可利用被配置用于存储器应用(诸如例如核心存储器阵列的电力分配)的正面电力网络(FSPN)架构108。在一些情况下,正面电力网络(FSPN)108可包括在不同电力域中供应核心电压的正面金属层(FML),其中核心电压的电力域可指初级电力域(PPWR)和/或次级电力域(SPWR)。
在一些具体实施中,正面电力网络(FSPN)108可包括各种类型的部件、电路和金属层。例如,正面电力网络(FSPN)108可包括耦接到具有例如被布置成列和行的位单元阵列的存储器电路的正面电力轨以及标头逻辑和电力选通控制逻辑。另外,在一些情况下,正面电力网络(FSPN)108可包括任何数量(N)的正面金属层(FML)以及将不同FML耦接在一起的正面层间通孔(FSV)。
BPR工艺技术104还可利用背面电力网络(BSPN)架构118,该BSPN架构为存储器应用提供了背面电力分配,诸如例如与各种存储器控制电路(包括列复用器电路、感测放大器电路、电力选通输入/输出电路和/或电力选通控制电路)一起使用。另外,背面电力网络(BSPN)118可被配置为在不同电力域(包括用于设置在正面的存储器控制逻辑的初级电力域(PPWR)和/或次级电力域(SPWR))中供应核心电压。另外,背面电力分配网络(BSPN)118可被配置为供应不同类型的源电压,包括例如核心电压、外围电压和/或接地。
在一些具体实施中,背面电力网络(BSPN)118可包括在多个域(PPWR、SPWR)中供应电力的一个或多个背面金属层(BML),包括例如内埋式金属层。另外,背面电力网络(BSPN)118可具有背面电力轨以及与背面金属层(BML)一起形成的背面层间通孔(BSV)。背面电力网络(BSPN)118还可包括背面电力连接凸块(BSB)。
BPR工艺技术104还可利用具有内埋式过渡通孔(BTV)的正面到背面过渡架构114,该正面到背面过渡架构用于将内埋式背面电力网络(BSPN)耦接到正面电力网络(FSPN)。在一些情况下,内埋式过渡通孔(BTV)124可被配置为提供在背面电力网络(BSPN)118与正面电力网络(FSPN)108之间的电力过渡。因此,BPR工艺技术104可被配置用于使背面电力网络的背面电力轨过渡到正面电力网络的正面电力轨,以便提供从背面电力网络(BSPN)到存储器电路的电力分接。另外,正面到背面过渡114可被称为内埋式过渡架构,其可具有背面-正面过渡单元以及内埋式过渡通孔124,其提供在背面电力网络(BSPN)118与正面电力网络(FSPN)108之间的耦接过渡。
在一些具体实施中,背面电力轨(BPR)技术104可被配置为作为电力分配网络架构操作,其利用背面电力轨的背面电力网络(BSPN)中的背面内埋式金属,并且还利用正面电力轨的正面电力网络(FSPN)的正面金属。另外,正面电力网络(FSPN)可使用正面金属,并且背面电力网络(BSPN)可使用设置在正面电力网络(FSPN)的正面金属下方的背面内埋式金属。另外,过渡架构可指设置在背面电力网络(BSPN)的背面内埋式金属与正面电力网络(FSPN)的正面金属之间的内埋式过渡架构。
在各种具体实施中,本文所述的各种方案和技术可提供用于用内埋式电力轨将电力从背面过渡到正面的电力分配网络架构。另外,电力分配网络架构可被配置为将背面内埋式金属用于背面电力轨,并且将正面金属用于正面电力轨。另外,在一些情况下,电力分配网络架构可使用在多个不同电压域(诸如例如PPWR和SPWR)中的基于标头的电力分接电路以及接地(GND)。以下参考图2-图7E更详细地描述这些方面和各种其他特征。
参考用于各种物理设计中的图1的内埋式电力轨(BPR)工艺技术104,图2-图7E示出了根据本文所述的各种具体实施的与背面电力分配网络(BPDN)架构相关联的各种物理布局模式和图。在一些具体实施中,图2-图7E中的图示出了具有提供初级电力(PPWR)、次级电力(SPWR)和接地(GND)的源供电的电力轨结构以及单元边界(CB)和设备可用跨度(USOD)的各种BPDN单元架构。另外,图2-图7E中的各种图示出了具有参考初级电力(PPWR)形成的电力轨中断(或轨断口或轨间隙)的电力轨,该电力轨中断允许插入用于次级电力(SPWR)的其他电力轨。另外,图2-图7E中的各种图示出了具有可修改特征的电力轨,该可修改特征包括例如各种不同边缘类型、各种不同位置取向和/或各种邻接配置。
图2示出了根据本文所述的具体实施的背面电力分配网络(BPDN)架构204的图200。
如图2所示,BPDN架构204可包括耦接到初级电力(PPWR)的初级电力轨(PPWR)和耦接到接地(GND)的接地电力轨(GND)。BPDN架构204可指具有单元边界(CB)以及设备可用跨度(USOD)的单元架构。在各种情况下,单元架构可指标准单元架构,并且单元边界(CB)可指限定设备可用跨度(USOD)的一个或多个区域,其可被包围在单元边界(CB)内。初级电力轨(PPWR)和/或接地电力轨(GND)可由相同或不同的背面金属层(BML)形成。
图3A-图3B示出了根据本文所述的各种具体实施的BPDN架构的其他图。特别地,图3A示出了具有在单个行中的初级电力(PPWR)和次级电力(SPWR)的BPDN架构304A的图300A,并且图3B示出了具有在多个行中的初级电力(PPWR)和次级电力(SPWR)的BPDN架构304B的图300B。
如图3A所示,BPDN架构304A可具有耦接到初级电力(PPWR)的初级电力轨(PPWR)和耦接到接地(GND)的接地电力轨(GND)。BPDN架构304A可指具有单元边界(CB)以及设备可用跨度(USOD)的单元架构。在一些情况下,初级电力轨(PPWR)可被中断,并且次级电力轨(SPWR)可被插入在由初级电力中断308限定的间隙空间间隔中。另外,初级电力轨(PPWR)提供初级电力供应(PPWR),并且次级电力轨(SPWR)提供次级电力供应(SPWR)。另外,在各种情况下,初级电力轨(PPWR)、次级电力轨(SPWR)和/或接地电力轨(GND)可由相同或不同的背面金属层(BML)形成。
在一些具体实施中,初级电力中断308为次级电力供应(SPWR)的插入提供了间隙空间间隔。另外,在一些情况下,可在第一电力域(诸如例如核心电力域(PPWR))中提供初级电力供应(PPWR),并且可在不同于第一电力域的第二电力域(诸如例如全局核心电力域(SPWR))中提供次级电力供应(SPWR)。
在一些具体实施中,图3A表示具有在上边缘或北边缘上具有放置限制的次级电力供应(SPWR)的单行单元。另外,在其他具体实施中,接地电力轨(GND)可被中断(具有断口或间隙),并且次级电力轨(SPWR)可被插入在由中断接地轨(GND)限定的间隙空间间隔中。
如图3B所示,BPDN架构304B可指具有第一行单元边界(CB1)和第二行单元边界(CB2)的多行单元,其中次级电力供应(SPWR)在任何边缘上都没有放置限制。BPDN架构304B可具有耦接到初级电力(PPWR)的初级电力轨(PPWR)和耦接到接地(GND)的多个接地电力轨(GND)。另外,BPDN架构304B可具有用于设备可用跨度(USOD)的多个区域。在各种情况下,初级电力轨(PPWR)可被中断,并且次级电力轨(SPWR)可被插入在由初级电力中断308限定的间隙空间间隔中。另外,初级电力轨(PPWR)可提供初级电力供应(PPWR),并且次级电力轨(SPWR)可提供次级电力供应(SPWR)。另外,初级电力轨(PPWR)、次级电力轨(SPWR)和/或接地电力轨(GND)可由相同或不同的背面金属层(BML)形成。另外,在其他情况下,接地电力轨(GND)可被中断(具有断口或间隙),并且次级电力轨(SPWR)可被插入由中断接地轨限定的间隙空间间隔中。
图4A-图4B示出了根据本文所述的各种具体实施的BPDN架构的其他图。特别地,图4A示出了具有在单个行中的初级电力(PPWR)和次级电力(SPWR)的BPDN架构404A的图400A,并且图4B示出了具有在多个行中的初级电力(PPWR)和次级电力(SPWR)的BPDN架构404B的图400B。
如图4A所示,BPDN架构404A可具有耦接到初级电力(PPWR)的初级电力轨(PPWR)和耦接到接地(GND)的接地电力轨(GND)。BPDN架构404A可指具有单元边界(CB)以及为设备可用跨度(USOD)限定的多个区域(包括用于USOD的扩展区域414)的单元架构。在一些情况下,初级电力轨(PPWR)可被中断,并且次级电力轨(SPWR)可被插入在由初级电力中断408限定的间隙空间间隔中。另外,初级电力轨(PPWR)提供初级电力供应(PPWR),并且次级电力轨(SPWR)提供次级电力供应(SPWR)。在各种情况下,初级电力轨(PPWR)、次级电力轨(SPWR)和/或接地电力轨(GND)可由相同或不同的背面金属层(BML)形成。
在一些具体实施中,图4A可表示具有初级电力(PPWR)和次级电力(SPWR)而在上边缘或北边缘上有放置限制的单行单元。另外,图4A示出了USOD可包括可被包围在单元边界(CB)内的多个扩展使用区域414(即,扩展USOD)。另外,在其他具体实施中,接地电力轨(GND)可被中断(具有一个或多个断口或间隙),并且次级电力轨(SPWR)可被插入在由中断接地轨限定的间隙空间间隔中。
如图4B所示,BPDN架构404B可指具有第一行单元边界(CB1)和第二行单元边界(CB2)的多行单元,其中次级电力供应(SPWR)在任何边缘上都没有放置限制。BPDN架构404B可具有耦接到初级电力(PPWR)的初级电力轨(PPWR)和耦接到接地(GND)的多个接地电力轨(GND)。另外,BPDN架构404B可具有用于设备可用跨度(USOD)的多个区域。在各种情况下,初级电力轨(PPWR)可被中断,并且次级电力轨(SPWR)可被插入在由初级电力中断408限定的间隙空间间隔中。另外,初级电力轨(PPWR)可提供初级电力供应(PPWR),并且次级电力轨(SPWR)可提供次级电力供应(SPWR)。另外,初级电力轨(PPWR)、次级电力轨(SPWR)和/或接地电力轨(GND)可由相同或不同的背面金属层(BML)形成。另外,在其他情况下,接地电力轨(GND)可被中断(具有一个或多个断口或间隙),并且次级电力轨(SPWR)可被插入由中断接地轨限定的间隙空间间隔中。
图5A-图5D示出了根据本文所述的具体实施的BPDN架构的其他图。特别地,图5A示出了具有在单个行中的次级电力(SPWR)的BPDN架构504A的图500A,图5B示出了具有在单个行中的初级电力(PPWR)和次级电力(SPWR)的BPDN架构504B的图500B,图5C示出了具有在多个行中的初级电力(PPWR)和次级电力(SPWR)的BPDN架构504C的图500C,并且图5D示出了具有在多个行中的初级电力(PPWR)和次级电力(SPWR)的BPDN架构504D的另一个图500D。
如图5A所示,BPDN架构504A可指BPDN单元架构的面积高效的单元设计。BPDN架构504A可包括具有提供次级电力(SPWR)和接地(GND)的背面电力轨的背面电力分配网络(BPDN)。BPDN架构504A可具有带有单元边界(CB)以及为设备可用跨度(USOD)限定的区域的单元架构。在一些情况下,次级电力轨(SPWR)提供次级电力供应(SPWR),并且接地电力轨(GND)可耦接到接地(GND)。次级电力轨(SPWR)和/或接地电力轨(GND)可由相同或不同的背面金属层(BML)形成。在一些情况下,图5A中的BPDN架构504A表示仅具有次级电力供应(SPWR)而在东边缘、西边缘和北边缘上有放置限制的面积高效的单元设计。
如图5B所示,BPDN架构504B可指BPDN单元架构的面积高效的单元设计。BPDN架构504B可包括具有提供初级电力供应(PPWR)和次级电力供应(SPWR)以及接地(GND)的背面电力轨的背面电力分配网络(BPDN)。在一些情况下,背面电力轨可包括第一电力轨,该第一电力轨具有提供初级电力供应(PPWR)的第一段(PPWR)、提供次级电力供应(SPWR)的第二段(SPWR)以及将第一段(PPWR)与第二段(SPWR)分开的电力轨中断508。背面电力轨包括第二电力轨(GND),该第二电力轨是连续的并且耦接到接地(GND)。
在一些具体实施中,单元架构可以是标准单元架构,并且单元架构可具有单元边界(CB)以及被包围在单元边界(CB)内的设备可用跨度(USOD)的多个区域。中断508可指设置在第一电力轨的第一段(PPWR)和第二段(SPWR)之间的在第一电力轨中的间隙空间间隔。可在第一电力域(PPWR)中提供初级电力供应(PPWR),并且可在不同于第一电力域(PPWR)的第二电力域(SPWR)中提供次级电力供应(SPWR)。另外,在一些情况下,第一电力域(PPWR)可指核心电力域(PPWR),并且第二电力域(SPWR)可指全局核心电力域(SPWR),并且第二电力轨(GND)可指耦接到接地(GND)的接地电力轨。在一些情况下,图5B中的BPDN架构504B表示具有初级电力供应和次级电力供应而在东边缘、西边缘和北边缘上有放置限制的面积高效的单元设计。
如图5C所示,BPDN架构504C可指BPDN单元架构的面积高效的单元设计。在一些具体实施中,背面电力轨可布置成多个行,包括在单元架构504C的内边缘518处提供次级电力供应(SPWR)的第一行(第1行)和也在单元架构504C的内边缘518处提供次级电力供应(SPWR)的第二行(第2行)。多个行可包括在单元架构504C的外边缘524处提供初级电力供应(PPWR)的第三行(第3行),并且第二行设置在第一行(第1行)与第三行(第3行)之间。
在一些具体实施中,图5C中的BPDN架构504C可表示具有初级电力供应(PPWR)和次级电力供应(SPWR)而在东边缘、西边缘和北边缘上有放置限制的面积高效的单元设计,并且另外,BPDN架构504C可在单元架构具有更多的设备连接到次级电力供应(SPWR)而不是初级电力供应(PPWR)时使用。
如图5D所示,BPDN架构504D可指BPDN单元架构的面积高效的单元设计。在一些具体实施中,背面电力轨可布置成多个行,包括在单元架构504D的内边缘518处提供初级电力供应(PPWR)的第一行(第1行)和在单元架构504D的内边缘518处提供初级电力供应(PPWR)的第二行。多个行可包括在单元架构504D的外边缘524处提供次级电力供应(SPWR)的第三行(第3行),并且第二行(第2行)设置在第一行(第1行)与第三行(第3行)之间。
在一些具体实施中,图5D中的BPDN架构504D可表示具有初级电力供应(PPWR)和次级电力供应(SPWR)而在东边缘、西边缘和北边缘上有放置限制的面积高效的单元设计,并且另外,BPDN架构504D可在单元架构具有更多的设备连接到次级电力供应(SPWR)而不是初级电力供应(PPWR)时使用。
在一些具体实施中,单元架构504C、504D可指标准单元架构,并且另外,单元架构504C、504D也可具有第一单元边界(CB1)、第二单元边界(CB2)和第三单元边界(CB2),其中每个单元边界(CB1,CB2,CB3)具有可被包围在每个单元边界(CB1,CB2,CB3)内的对应USOD区域。
图6示出了根据本文所述的各种具体实施的BPDN架构604的另一个图600。
如图6所示,BPDN架构604是指具有带有第一行单元边界(CB1)和第二行单元边界(CB2)的单元架构的多行单元,其中每个单元边界(CB1,CB2)具有被包围在每个单元边界(CB1,CB2)内的对应USOD区域。BPDN架构604可包括具有提供初级电力供应(PPWR)、次级电力供应(SPWR)和接地(GND)的背面电力轨的背面电力分配网络(BPDN)。背面电力轨可具有耦接到初级电力供应(PPWR)的一个或多个连续电力轨(PPWR),并且另外,背面电力轨可具有一个或多个中断电力轨(GND),该中断电力轨包括耦接到接地(GND)的接地段(GND)、提供次级电力供应(SPWR)的电力段(SPWR)以及将接地段(GND)与电力段(SPWR)分开的电力轨中断5608。
在各种具体实施中,BPDN单元架构604可指用于电力选通设计的标准单元架构,并且BPDN单元架构604可包括被包围在第一单元边界(CB1)和第二单元边界(CB2)内的多个USOD区域。在一些情况下,可在与核心电压(PPWR)相关联的第一电力域(PPWR)中提供初级电力供应(PPWR),并且可在与全局核心电压(SPWR)相关联的第二电力域(SPWR)中提供次级电力供应(SPWR),并且接地段(GND)耦接到接地(GND)。此外,在一些情况下,图6表示具有初级电力供应(PPWR)和次级电力供应(SPWR)两者的电力选通单元设计,其中次级电力供应(SPWR)是指始终接通的全局电力供应。
图7A-图7E示出了根据本文所述的各种具体实施的BPDN架构的各种图。特别地,图7A示出了具有带有无电力边缘(NPE)的单元类型的BPDN架构704A的图700A,图7B示出了具有带有扩展电力边缘(EXT)的单元类型的BPDN架构704B的图700B,并且图7C示出了具有NPE单元类型和EXT单元类型的BPDN架构704C的具体实施图700C。此外,图7D示出了具有带有电力轨间隙708的单元类型的BPDN架构704D的图700D,并且另外,图7E示出了具有NPE单元类型和EXT单元类型以及电力轨间隙708的BPDN架构704E的具体实施图700E。
在一些具体实施中,NPE单元类型可指在与单元边缘相关联的轨位置不具有电力轨的单元的单元边缘。另外,EXT单元类型可指在与单元边缘相关联的轨位置具有未命名电力轨(UNPR)的单元的单元边缘。本文参考图7A-图7E更详细地描述了与单元边缘类型相关的这些方面和各种其他方面。
如图7A所示,BPDN架构704A可指BPDN单元架构的面积高效的单元设计。BPDN架构704A可包括在与无电力边缘(NPE)边缘相关联的轨位置不具有电力轨的NPE单元类型以及接地轨(GND)。BPDN架构704A可具有带有单元边界(CB)以及为设备可用跨度(USOD)限定的区域的单元架构。在一些情况下,BPDN架构704A可不具有电力轨并且可仅具有接地电力轨(GND)。因此,图7A中的BPDN架构704A可表示不具有电力轨而仅具有设置在单元边界(CB)的南边缘(或下边缘)上的接地轨(GND)的面积高效的单元设计。
如图7B所示,BPDN架构704B可指BPDN单元架构的面积高效的单元设计。BPDN架构704B可包括在与扩展电力边缘(EXT)相关联的轨位置具有未命名电力轨(UPPR)的EXT单元类型以及接地轨(GND)。BPDN架构704B可具有带有单元边界(CB)以及为设备可用跨度(USOD)限定的区域的单元架构。另外,在一些情况下,BPDN架构704B可包括未命名电力轨(UPPR)以及接地电力轨(GND)。因此,图7B中的BPDN架构704B可表示具有未命名电力轨(UPPR)和设置在单元边界(CB)的南边缘(或下边缘)上的接地轨(GND)的面积高效的单元设计。
在一些具体实施中,图7C表示扩展电力单元(EXT)和无电力单元(NPE)的示例性使用,其中图7C示出了具有NPE单元类型和EXT单元类型的BPDN架构704C的使用图700C。
如图7C所示,BPDN架构704C可具有多个单元的第一行(row_1),该多个单元具有多种单元类型,诸如例如EXT单元类型、NPE单元类型和UNPR单元类型。多种单元类型还可包括各种标准单元类型,诸如例如反相器单元类型(INV)和缓冲器单元类型(BUF)。在一些情况下,第一行(row_1)和第二行(row_2)可各自包括一定数量(N)的单元,诸如例如8个单元。另外,BPDN架构704C可包括背面电力轨,诸如例如设置在下接地电力轨(GND)与上接地电力轨(GND)之间的分段电力轨。在一些情况下,分段电力轨可具有UNPR段、PPWR段、无电力(NP)段和SPWR段,这些段设置在与每个行(row_1、row_2)相关联的对应单元中。
如图7D所示,BPDN架构704D可指BPDN单元架构的面积高效的单元设计。BPDN架构704D可包括在UNPR轨段之间不设置电力轨的无电力(NP)轨段以及接地轨(GND)。BPDN架构704D可具有带有单元边界(CB)以及为设备可用跨度(USOD)限定的区域的单元架构。另外,BPDN架构704D可包括UNPR轨,其中电力轨间隙708设置在UNPR轨的UNPR段之间。因此,图7D中的BPDN架构704D可表示具有PR轨段和电力轨间隙708以及接地轨(GND)的面积高效的单元设计。在一些具体实施中,BPDN架构704D可表示具有扩展电力边缘(EXT)和无电力边缘(NPE)的单元,其可被提供作为扩展电力单元和无电力单元的组合。
在一些具体实施中,图7D表示图7D中的电力间隙单元的示例性使用,其中图7D示出了具有电力间隙单元以及NP单元和EXT单元的BPDN架构704D的使用图700D。
如图7D所示,BPDN架构704D可具有多个单元的第一行(row_1),该多个单元具有多种单元类型,诸如例如电力间隙单元、EXT单元、NP单元和UNPR单元。多个单元还可包括各种标准单元,诸如例如反相器单元(INV)、缓冲器单元(BUF)和逻辑单元(例如,NAND单元)。
在一些具体实施中,BPDN架构704D可表示具有多种单元类型的多个单元结构的多单元架构,其中每个单元结构可具有背面电力分配网络(BPDN),其中背面电力轨布置成多种单元类型,并且其中每个单元结构可具有接地边缘(GND)和配置边缘(例如,SPWR、UNPR、电力间隙、PPWR),该配置边缘可提供无电力边缘(NPE)、扩展电力边缘(EXT)和/或具有至少一个中断(即,电力轨间隙708)的未命名电力边缘(UNPR)。
在一些具体实施中,第一行(row_1)和第二行(row_2)可各自包括一定数量(N)的单元,诸如例如4个单元。例如,如参考图7E所示,第一行(row_1)可包括作为第一单元的缓冲器单元(BUF)、作为第二单元的电力间隙单元、作为第三单元的逆变器单元(INV)和作为第四单元的另一个反相器单元(INV)。另外,第二行(row_2)可包括作为第一单元的缓冲器单元(BUF)、作为第二单元的无电力单元(NP)、作为第三单元的另一个无电力单元(NP)和作为第四单元的逻辑单元(NAND)。在一些情况下,BPDN架构704E中的每个单元结构可耦接到背面电力分配网络(BPDN),其中背面电力轨布置成多种单元类型,以便提供初级电力供应(PPWR)、次级电力供应(SPWR)、接地(GND)或无电力(NP)中的至少一者。
参考BPDN架构704E中的单元类型,第一单元类型可具有耦接到接地(GND)的接地边缘和可提供无电力边缘(NPE)的配置边缘。另外,第二单元类型可具有耦接到接地(GND)的接地边缘和可提供耦接到初级电力供应(PPWR)或次级电力供应(SPWR)的扩展电力边缘(EXT)的配置边缘。另外,第三单元类型可具有耦接到接地(GND)的接地边缘和可提供耦接到初级电力供应(PPWR)或次级电力供应(SPWR)的电力边缘的配置边缘,其中该电力边缘具有电力轨间隙708(或中断),其中另一个无电力边缘(NPE)插入在由电力轨间隙708(或中断)提供的间隙空间间隔中。
如本文所述,可参考与核心电压(PPWR)相关联的第一电力域来提供初级电力供应,并且另外,可参考与全局核心电压(SPWR)相关联的第二电力域来提供次级电力供应。另外,接地边缘可耦接到接地(GND)。
在一些具体实施中,次级电力/接地背面轨可触及单元的东/西边缘,其中一个边缘可具有标准电力轨(例如,当单元需要来自初级电力供应的电力时和如果单元需要来自初级电力供应的电力的话),并且另一个边缘可指次级电力轨。另外,根据哪个电力轨/接地轨触及单元边缘,触及边缘可具有分配的边缘类型。可存在关于这些边缘类型在放置和布线期间如何被配置为邻接的规则。一些相同边缘类型可以零间距邻接,并且不同边缘类型可以“n”间距邻接,其中“n”由物理设计中使用的各种工艺技术的设计规则确定。
一些单元具有不同电力供应轨,其具有可在单元边缘之间维持轨间距的邻接边缘,并且电力间隙单元可被设计有其他标准单元不具有的特殊边缘类型。电力间隙单元可被配置为与任何其他边缘类型以零间距邻接,并且确保由在相同边缘类型的单元边缘之间的放置与布线(PNR)工具产生的间隙被填充,除了电力间隙单元之外,每个边缘类型可能需要特殊填充单元。
另外,并非在具有次级电力供应的每个单元内构建面积开销,而是可在PNR级上施加面积开销,这使EDA工具的放置基于边缘类型和邻接规则以及为此目的而产生的特殊单元来执行放置。该构思在EDA工具将具有相同次级电力供应的多个单元放置成以零间距更靠近地安置的行时可节省面积,并且面积开销可仅适用于链的端部。类似地,在一个边缘上具有标准电力轨且在另一个边缘上具有次级电力轨的一些单元可通过在其y轴上交替地翻转单元来以零间距放置在链中。这也可减少从每个单元到链的端部的面积开销,并且如果链结束于标准轨的边缘,则可不施加附加面积开销。
图8示出了根据本文所述的具体实施的用于以物理设计提供背面电力分配技术的系统800。
参考图8,系统800可与计算机或计算设备804相关联,该计算机或计算设备被实施为用于在物理设计中提供背面电力分配技术的专用机器,如本文所述。在一些情况下,计算设备804可指具有任何标准元件和/或部件的装置,包括至少一个处理器810、存储器812(例如,非暂态计算机可读存储介质)、一个或多个数据库840、电源、外围设备,以及可能未在图8中具体地示出的各种其他计算元件和/或部件。计算设备804可包括记录或存储在非暂态计算机可读介质812上的指令,该指令可由至少一个处理器810执行。计算设备804可与可用于提供用户界面(UI)852诸如例如图形用户界面(GUI)的显示设备850(例如,监视器或其他显示器)相关联。在一些情况下,UI 852可用于从用户接收用于管理、操作和/或控制计算设备804的各种参数和/或偏好。因此,计算设备804可包括用于向用户提供各种类型的输出的显示设备850,并且另外,显示设备850可包括用于从用户接收各种类型的输入的UI 852。
如参考图8所示,计算设备804可包括BPDN管理器820,该BPDN管理器可被配置为使处理器810实现本文参考图1至图7E所述的背面电力分配方案和技术,包括与在物理设计中实施各种相关集成电路(IC)相关的方法。BPDN管理器820可在硬件和/或软件中实施。例如,如果在软件中实施,则BPDN管理器820可存储在存储器812和/或数据库840中。另外,在一些情况下,如果在硬件中实施,则BPDN管理器820和相关部件可指被配置为与处理器810进行交互的单独的处理部件。
在一些情况下,BPDN管理器820可被配置为使至少一个处理器810执行各种操作,如本文参考图1-图7E中所述的背面电力分配方案和技术所提供。存储器812可包括存储在其上的指令,该指令在由处理器810执行时使处理器810执行以下操作中的一个或多个或全部操作。
例如,BPDN管理器820可被配置为使至少一个处理器810执行与提供具有带有提供初级电力供应(PPWR)的背面电力轨的背面电力分配网络的单元架构相关联的操作。另外,至少一个背面电力轨可被中断,并且次级电力供应(SPWR)被插入在该中断的间隙空间间隔中。
BPDN管理器820可被配置为使至少一个处理器810执行与提供具有提供初级电力供应(PPWR)、次级电力供应(SPWR)和接地(GND)的背面电力轨的背面电力分配网络相关联的操作。另外,背面电力轨可包括第一电力轨,该第一电力轨具有提供初级电力供应(PPWR)的第一段、提供次级电力供应(SPWR)的第二段以及将第一段与第二段分开的中断。另外,背面电力轨可包括第二电力轨,该第二电力轨是连续的并且耦接到接地(GND)。
BPDN管理器820可被配置为使至少一个处理器810执行与提供具有提供初级电力供应(PPWR)、次级电力供应(SPWR)和接地(GND)的背面电力轨的背面电力分配网络相关联的操作。另外,背面电力轨可包括耦接到初级电力供应(PPWR)的一个或多个连续电力轨,并且背面电力轨可包括一个或多个中断电力轨,该中断电力轨具有耦接到接地(GND)的接地段、提供次级电力供应(SPWR)的电力段以及将接地段与电力段分开的一个或多个中断。
BPDN管理器820可被配置为使至少一个处理器810执行与提供具有多种单元类型的多个单元结构的多单元架构相关联的操作。在一些情况下,每个单元结构具有背面电力分配网络,其中背面电力轨布置成多种单元类型,并且每个单元结构具有接地边缘和提供无电力边缘、扩展电力边缘或具有中断的电力边缘的配置边缘。
根据参考图1-图7E所述的各种具体实施,由BPDN管理器820执行的这些操作中的任何一个或多个或全部操作可更改、修改或改变,从而提供如图1-图7E所示的各种具体实施方案。此外,本文所述的背面电力分配方案和技术可用于提供呈具有被包括在用于相关EDA系统的电子设计自动化(EDA)工具的放置与布线环境中的物理结构的逻辑块或模块的形式的各种集成电路。
另外,参考图8,计算设备804可包括模拟器824,该模拟器被配置为使处理器810生成集成电路的一个或多个模拟。模拟器824可称为可在硬件和/或软件中实施的模拟部件和/或模块。如果在软件中实施,模拟器824可被记录或存储在存储器812或数据库840中。如果在硬件中实施,模拟器820可指被配置为与处理器810进行交互的单独的处理部件。在一些情况下,模拟器824可指被配置为生成集成电路的SPICE模拟的SPICE模拟器。一般来讲,SPICE是以集成电路为重心的模拟程序的首字母缩略词,其为开源模拟电子电路模拟器。另外,SPICE可指由半导体工业用来检查集成电路设计的完整性并预测集成电路设计的行为的通用软件程序。因此,在一些具体实施中,BPDN管理器820可被配置为与模拟器824进行交互,以便由此基于可用于分析集成电路的性能特性(包括集成电路的定时数据)的集成电路的一个或多个模拟(包括例如SPICE模拟)来生成各种定时数据和信息。另外,BPDN管理器820可被配置为使用集成电路的模拟(例如,SPICE模拟)来评估其操作行为和条件。
在一些情况下,计算设备804可包括一个或多个数据库840,该数据库被配置为存储和/或记录与在物理设计中实施转换速率表征方案和技术相关的各种数据和信息。在各种情况下,数据库840可被配置为存储和/或记录与集成电路、操作条件、操作行为和/或定时数据相关的数据和信息。另外,数据库840可被配置为存储参考模拟数据(例如,SPICE模拟数据)的与集成电路和定时数据相关的数据和信息。
本文所述的各种方案和技术提供了用于电子设计自动化(EDA)的各种方法,这些方法使得能够使用面积高效的标准单元的集合来构建、设计和/或提供面积高效的标准单元块以支持背面电力分配网络(BPDN)。例如,参考半导体集成电路的物理设计,自动化工具可用于物理设计的各种阶段,包括其中提供电路的逻辑设计、标准单元库信息和各种其他参数作为输入以生成门级网表的逻辑合成。另外,使用放置与布线(PNR)技术,其中可提供来自逻辑合成、标准单元库和/或各种其他参数的门级网表作为输入以生成集成电路和/或其部件的物理布局设计。
在一些具体实施中,当寻求具有任何电隔离结构诸如例如NWELL和/或电力轨/接地轨的标准单元的面积效率时,逻辑合成工具和PNR工具可能受限制,因为标准单元被设计成具有内置于需要具有此类电隔离元件的每个单元中的面积开销并且这些单元的每个实例都导致面积开销。PNR工具通常要求每个标准单元在彼此邻接时遵循特定边界条件。为了克服这些限制中的一些限制,可在单元级上分配单元边缘的边缘类型,并且另外,可为不同边缘类型提供设计规则以彼此邻接或维持彼此的最小空间。在一些情况下,当在单元中存在电隔离结构时,即使是传统边缘类型方法也可能不提供面积高效的放置解决方案。
因此,本文所述的各种方案和技术通过在标准单元需要具有电隔离结构(诸如例如不同电势NWELL、耦接到物理单元设计中不同于物理单元设计的初级供电的次级供电的电力轨)时使用专门设计的标准单元布局和方法来实现面积高效的合成和PNR过程。因此,本文所述的各种方案和技术主要适用于支持具有通过内埋式通孔耦接到在正面上的晶体管以便中断初级电力轨和/或接地轨以用于插入次级电力轨段和/或接地轨段的背面电力轨的背面电力分配网络(BPDN)的半导体工艺。另外,本文所述的各种方案和技术可因此应用于具有正面轨以用于通过中断初级轨来插入次级轨段并用于以面积高效的方式隔离不同电势的NWELL的半导体工艺。此外,在一些情况下,不同电势可指电压值和/或不同电力/电压源的差值。
图9示出了根据本文所述的具体实施的合成和放置与布线(PNR)过程900以及与其相关的技术。在各种具体实施中,合成和放置与布线(PNR)过程900是指用于利用可用于构建、生成和/或提供设计块的物理单元布局结构的合成和放置与布线(PNR)过程900的方法。
应当理解,即使过程900指示特定操作执行顺序,但是在一些情况下,操作的各个特定部分也可能以不同顺序并且在不同系统上执行。在其他情况下,可向过程900添加附加操作和/或步骤和/或从该方法省略附加操作和/或步骤。另外,过程900可在硬件和/或软件中实施。如果在硬件中实施,则过程900可用各种部件和/或电路实施,如本文参考图1-图8所述。另外,如果在软件中实施,则过程900可被实施为被配置用于合成和PNR技术的程序和/或软件指令过程,如本文所述。另外,如果在软件中实施,则与实现过程900相关的指令可存储和/或记录在存储器和/或数据库中。例如,具有处理器和存储器的各种类型的计算设备诸如例如图8中的计算机系统800可被配置为执行过程900。
在各种具体实施中,过程900可指将电力轨架构设计、提供、构建、制作和/或制造为集成系统、设备和/或电路的方法,其可涉及使用本文所述的各种IC电路部件,以便实施与其相关联的各种电力分配方案和技术。在一些具体实施中,电力轨架构可与单个芯片上的各种计算电路和其他相关部件集成,并且电力分配电路可实施在用于汽车、电子、移动、服务器和物联网(IoT)应用(包括遥感器节点)的一些嵌入式系统中。
在框910处,过程900可提供数字电路设计中的RTL的设计块的逻辑定义。在一些具体实施中,寄存器传输级(RTL)可指就通过在电路部件之间的数字信号路径的数据信号移动表征数字电路的模式和行为的物理布局设计,并且RTL可具有与对数据信号执行的逻辑操作相关的信息。在框914处,过程900可获得、提供和/或生成数字电路设计中的RTL的设计块的设计约束。另外,在框918处,过程900可访问、获得和/或利用存储在一个或多个标准单元库中的信息。
在框924处,过程900可与合成工具进行交互,该合成工具被配置为访问、获得和/或利用来自框910的设计块的逻辑定义、来自框914的设计约束和/或存储在来自框918的一个或多个标准单元库中的信息。在一些具体实施中,过程900被配置为与合成工具进行交互,以便合成用于具有带有电力供应轨的电力供应网的物理设计的标准单元。电力供应网可指不同电力供应,该不同电力供应经由与不同电力供应中的每个不同电力供应相关联的电力供应轨选择性地耦接到每个标准单元。在各种具体实施中,合成标准单元可指电力边缘类型感知合成,并且合成工具可被配置为处理在一个或多个标准单元库中提供并且与各种设计约束相关的信息。合成工具可被配置为合成具有影响面积作为约束的电隔离结构的标准单元,并且合成工具可被配置为在全局级上将所合成的标准单元分组在一起,以实现供放置与布线(PNR)工具使用的面积高效的设计。
在框928处,过程900可与被配置为访问、获得和/或利用来自框924的物理设计的所合成的标准单元以及存储在来自框918的一个或多个标准单元库中的信息的放置与布线(PNR)工具进行交互。在一些具体实施中,过程900被配置为采用放置与布线工具(PNR),以便基于触及标准单元中的每个标准单元的至少一个边缘的电力供应网和电力供应轨来限定物理设计中的标准单元中的每个标准单元的边缘类型。每个标准单元的至少一个边缘是指上边缘、与上边缘相对的下边缘、右边缘和与右边缘相对的左边缘中的至少一者。另外,在一些具体实施中,过程900可被配置为采用PNR工具,以便通过将具有类似的边缘类型的单元分组在一起以减少电力供应轨中的中断次数和通过使用一个或多个标准单元库中定义的特殊单元来使具有类似的边缘类型的标准单元彼此相邻地定位,同时维持隔离的电力轨段的最小长度规则。此外,过程900可被配置为采用PNR工具,以便在连接到不同电力供应网时维持在标准单元的电力供应轨之间的间隙。
在各种具体实施中,特殊单元可指和/或被定义为具有边缘类型中定义无电力边缘类型的第一边缘类型的无电力单元,并且另外,无电力单元可被配置为不提供到电力供应网的电力供应轨的可用电力连接。另外,特殊单元可指和/或被定义为具有边缘类型中定义扩展电力边缘类型的第二边缘类型的扩展电力单元,并且另外,扩展电力单元可被配置为提供可选择性地连接到相邻标准单元的电力供应轨的扩展电力供应轨。此外,特殊单元可指和/或被定义为具有边缘类型中定义电力间隙边缘类型的第三边缘类型的电力间隙单元,并且另外,电力间隙单元可被配置为提供具有间隙空间间隔的中断电力轨,该间隙空间间隔允许电力间隙单元的中断电力轨的不同段选择性地连接到不同电力供应网。
在框934处,过程900可提供具有由合成工具合成并由PNR工具放置的标准单元的设计块的物理布局。例如,参考图3A-图7E,设计块的物理布局可指具有带背面电力轨的单元架构的设备。在这种情况下,设备可包括被布置成包括上行、一个或多个内部行和下行的多个行的堆叠的标准单元阵列,其中每个标准单元具有带有可配置电力供应轨的单元架构,并且其中多个行中的每个行具有可配置电力供应轨的多个轨位置。可配置电力供应轨可包括上可配置电力供应轨的上轨位置,该上可配置电力供应轨在一个或多个内部行的上相邻行中的上相邻标准单元之间共享,或者设置在阵列的用于上行的上外边缘处。另外,可配置电力供应轨可包括下可配置电力供应轨的下轨位置,该下可配置电力供应轨在下相邻行中的下相邻标准单元之间共享,或者设置在阵列的用于下行的下外边缘处。
在一些具体实施中,可配置电力供应轨可以交替配置耦接到初级电力供应和/或初级接地供应。另外,用于每个标准单元的至少一个其他可配置电力供应轨可被配置为移除轨、单段轨和/或多段轨。移除轨可指在多个轨位置中的一个或多个轨位置不存在可配置供应轨,并且单段轨是指在多个轨位置中的一个或多个轨位置存在耦接到初级电力供应、耦接到次级电力供应或未连接到任何电力供应的单段供应轨。多段轨可指以间隙空间间隔分开的多个段,以便在耦接到初级电力供应、耦接到次级电力供应或不连接到任何电力供应的不同轨段之间提供中断。另外,参考多行、单段配置,每个标准单元的至少一个可配置电力供应轨耦接到初级电力供应或次级电力供应或者不连接到任何电力供应。此外,参考多行、多段配置,每个标准单元的至少一个可配置电力供应轨可耦接到初级电力供应或次级电力供应或者不连接到任何电力供应。
在一些情况下,参考图7A-图7E,设计块的物理布局可指具有特殊单元以补充面积高效的单元设计的设备。在这种情况下,该设备可包括多单元架构,该多单元架构具有多种单元类型的多个单元结构,其中每个单元结构具有背面电力分配网络,其中背面电力轨布置成多种单元类型,并且其中每个单元结构可包括接地边缘和/或提供无电力边缘、扩展电力边缘或具有中断的电力边缘的配置边缘。另外,每个单元结构可包括背面电力分配网络,其中背面电力轨布置成多种单元类型,以便提供初级电力供应、次级电力供应或接地中的至少一者。多种单元类型可具有带有耦接到接地的接地边缘和提供无电力边缘的配置边缘的第一单元类型。多种单元类型可具有带有耦接到接地的接地边缘和提供耦接到初级电力供应或次级电力供应的扩展电力边缘的配置边缘的第二单元类型。多种单元类型可具有带有耦接到接地的接地边缘和提供耦接到初级电力供应或次级电力供应的电力边缘的配置边缘的第三单元类型,并且电力边缘可具有中断,其中另一个无电力边缘插入在由中断提供的间隙空间间隔中。
图10示出了根据本文所述的各种具体实施的用于物理单元设计的标准单元设计放置1004的图1000。在一些情况下,标准单元设计放置1004可指物理布局设计中的面积高效的单元的集合,其表征、定义和/或构建面积高效的标准单元块,以便由此支持背面电力分配网络(BPDN)。另外,图10提供了示出放置在物理布局设计中的标准单元的图。
如图10所示,标准单元设计放置1004可包括一定数量(N)的标准单元(例如,cell_1、cell_2、...、cell_9),其设置成一定数量(N)的行(例如,row_1、row_2、...、row_5)。另外,标准单元设计放置1004可包括沿着每行(例如,row_1、row_2、...、row_5)的长度设置在标准单元(例如,cell_1、cell_2、...、cell_9)之间的各种电力供应轨(例如,Vdd/Vddx和/或Vss/Vssx)。在一些具体实施中,第一行(row_1)可不具有设置在其中的任何单元,并且第二行(row_2)可具有彼此相邻地设置以便跨第二行(row_2)彼此邻接的多个单元(3个单元:cell_1、cell_2、cell_3)。另外,第三行(row_3)可具有彼此相邻地设置以便跨第三行(row_3)彼此邻接的多个单元(2个单元:cell_5、cell_6)。另外,第四行(row_4)可具有彼此相邻地设置以便跨第四行(row_4)彼此邻接的多个单元(4个单元:cell_6、cell_7、cell_8、cell_9)。另外,第五行(row_5)可不具有设置在其中的任何单元。
参考图9-图10,本文所述的各种方案和技术可提供计算机实现的算法来以面积高效的方式合成和放置与布线具有电隔离结构的标准单元,并且电隔离结构可指电力轨和NWELL。本文所述的方案和技术可用于允许用背面电力轨和/或背面信号轨进行背面电力递送的半导体制造工艺中。在一些具体实施中,本文所述的方案和技术采用合成工具使用具有不携带用于电隔离结构的面积开销的专门设计的单元的标准单元库来合成RTL,该专门设计的单元诸如例如是在支持具有背面电力轨的BPDN的过程中的次级供应轨、或隔离的NWELL、或在支持正面电力供应轨的过程中的次级供应轨。另外,合成工具可处理标准单元库中提供的信息以及设计约束,并且合成工具可将具有电隔离结构的标准单元及其对面积的影响视为约束。此外,这些合成的标准单元在组合在一起时可有益于实现整体面积高效的设计。
在一些具体实施中,在全局放置期间,可采用放置与布线(PNR)工具基于电隔离结构来为已经被合成以放置在设计中的每个单元即时限定边缘类型,该设计可指电力轨对于单元的给定边缘触及的电力供应网,并且轨连接性可被分配给正确电力供应网。全局放置还可考虑尽可能多地将具有相同边缘类型的单元分组在一起,以最小化轨中断和减少伴随轨中断发生的面积开销。在各种具体实施中,单元的边缘可指东/西/北/南(或左/右/顶/底),并且电力供应网是指设计的电力供应名称而不是标准单元的电力轨名称。在一些情况下,标准单元可具有Vdd轨,但是在设计的不同实例(PNR块)中的相同单元的Vdd轨可耦接到该设计的一个或多个Vddx轨(例如,Vdd1或Vdd2)。因此,在设计中的相同标准单元的每个实例可具有即时提供的不同边缘类型,因为在设计中,标准单元的Vdd轨可耦接到Vdd1或Vdd2。
在一些具体实施中,在标准单元放置合法化期间,可采用放置与布线(PNR)工具来获得具有相同边缘类型的标准单元以尽可能成组地放置在彼此附近,同时通过使用标准单元库中的特殊单元(无电力单元、扩展电力单元和/或电力间隙单元)来维持隔离的电力轨段的最小长度规则。在全局放置和放置合法化的过程期间,相同网轨的亲近性是重要特征。在标准单元放置合法化期间,可采用放置与布线(PNR)工具以基于单元中的可用初级供应轨段将标准单元放置成适当取向。另选地,可设置PNR工具以接受次级供应到初级供应的映射,并且映射到初级供应的任何次级供应都可放置在设计中的初级供应位置上。另外,在放置合法化期间,PNR工具可用于维持边缘类型规则并用于在电力轨耦接到不同电力供应网的位置在单元的电力轨之间提供必要间隙。
应当预期的是,权利要求中叙述的主题不限于本文提供的具体实施和图示,而是包括根据权利要求的那些具体实施的修改形式,包括具体实施的部分和不同具体实施的各种元件的组合。应当理解,在任何此类具体实施的开发中,如在任何工程或设计项目中,可作出许多具体实施特定的决策以实现开发者的特定目标,诸如符合系统相关和/或业务相关的约束,这些约束可能在不同具体实施之间变化。另外,应当理解,此类开发工作可能是复杂且耗时的,但是尽管如此,对于受益于本文参考本公开描述的各种方案和技术的普通技术人员而言,这仍然是设计、制造和/或生产的常规任务。
本文描述了方法的各种具体实施。该方法可包括合成具有带有电力供应轨的电力供应网的物理设计的标准单元。该方法可包括采用放置与布线工具,以便基于触及标准单元中的每个标准单元的至少一个边缘的电力供应网和电力供应轨来限定物理设计中的标准单元中的每个标准单元的边缘类型。
本文描述了具有被布置成包括上行、一个或多个内部行和下行的多个行的堆叠的标准单元阵列的设备的各种具体实施。另外,每个标准单元可具有带有可配置电力供应轨的单元架构,并且多个行中的每个行可具有可配置电力供应轨的多个轨位置。多个轨位置可包括上可配置电力供应轨的上轨位置,该上可配置电力供应轨在一个或多个内部行的上相邻行中的上相邻标准单元之间共享,或者设置在阵列的用于上行的上外边缘处。多个轨位置可包括下可配置电力供应轨的下轨位置,该下可配置电力供应轨在下相邻行中的下相邻标准单元之间共享,或者设置在阵列的用于下行的下外边缘处。
本文描述了具有多单元架构的设备的各种具体实施,该多单元架构具有多种单元类型的多个单元结构。另外,每个单元结构可具有背面电力分配网络,其中背面电力轨布置成多种单元类型。另外,每个单元结构可具有接地边缘和提供无电力边缘、扩展电力边缘和/或具有中断的电力边缘的配置边缘。
已经详细地参考了各种具体实施,其示例在附图和图示中示出。在本文提供的以下详细描述中,阐述了许多具体细节以提供对本文提供的公开内容的透彻理解。然而,可在没有这些具体细节和/或特征的情况下实践本文提供的公开内容的各种方面。在一些情况下,没有详细地描述各种所熟知的方法、规程、系统、部件、电路和/或网络,以便不会不必要地模糊实施方案的细节。
还应当理解,虽然术语“第一”、“第二”等在本文中可用于描述各种元件,但这些元件不应受这些术语的限制。这些术语仅用于将一个元件与另一个元件区分开。例如,第一元件可被称为第二元件,并且类似地,第二元件可被称为第一元件。第一元件和第二元件分别均为元件,但它们不被认为是相同的元件。
在本文提供的本公开的描述中使用的术语是为了描述特定具体实施的目的,并且不旨在限制本文提供的公开内容。如本文中提供的公开内容和所附权利要求的描述中使用的,单数形式“一个”、“一种”和“该”旨在也包括复数形式,除非上下文另有明确指示。如本文所用,术语“和/或”是指并涵盖相关联的列出项目中的一者或多者的任何和所有可能组合。当在本说明书中使用时,术语“包括”、“包含”和/或“含有”指定所述特征、整数、步骤、操作、元件和/或部件的存在,但不排除一个或多个其他特征、整数、步骤、操作、元件、部件和/或它们的组的存在或添加。
如本文所用,根据上下文,术语“如果”可被解释为意指“当…时”或“在…时”或“响应于确定”或“响应于检测到”。类似地,根据上下文,短语“如果确定…”或“如果检测到[所述条件或事件]”可被解释为意指“在确定…时”或“响应于确定…”或“在检测到[所述条件或事件]时”或“响应于检测到[所述条件或事件]”。术语“上”和“下”;“上部”和“下部”;“向上”和“向下”;“在…下方”和“在…上方”;以及指示给定点或元件上方或下方的相对位置的其他类似术语可与本文所述的各种技术的一些具体实施结合使用。
虽然前述内容涉及本文所述的各种技术的具体实施,但是可以根据本文的公开内容想出其他和另外的具体实施,本文的公开内容可以由所附权利要求确定。虽然以特定于结构特征和/或方法动作的语言描述了本主题,但应当理解,所附权利要求中限定的主题不一定限于上述特定特征或动作。相反,上述的具体特征和动作被公开为实现权利要求的示例性形式。

Claims (20)

1.一种方法,包括:
合成具有带有电力供应轨的电力供应网的物理设计的标准单元;以及
采用放置与布线工具,以便基于触及所述标准单元中的每个标准单元的至少一个边缘的所述电力供应网和所述电力供应轨来限定所述物理设计中的所述标准单元中的每个标准单元的边缘类型。
2.根据权利要求1所述的方法,其中每个标准单元的所述至少一个边缘是指上边缘、与所述上边缘相对的下边缘、右边缘和与所述右边缘相对的左边缘中的至少一者。
3.根据权利要求1所述的方法,其中所述电力供应网是指不同电力供应,所述不同电力供应经由与所述不同电力供应中的每个不同电力供应相关联的所述电力供应轨选择性地耦接到每个标准单元。
4.根据权利要求1所述的方法,其中:
合成所述标准单元是指电力边缘类型感知合成,并且
合成工具被配置为处理在标准单元库中提供并与设计约束相关的信息。
5.根据权利要求4所述的方法,其中:
所述合成工具合成具有影响面积作为约束的电隔离结构的所述标准单元,并且
所述合成工具在全局级上将所合成的标准单元分组在一起,以实现供所述放置与布线工具使用的面积高效的设计。
6.根据权利要求1所述的方法,还包括:
采用所述放置与布线工具,以便将具有类似的边缘类型的所述标准单元彼此相邻地定位,同时通过将具有所述类似的边缘类型的单元分组以减少所述电力供应轨中的中断次数和通过使用标准单元库中的特殊单元来维持隔离的电力轨段的最小长度规则。
7.根据权利要求6所述的方法,其中所述特殊单元是指具有所述边缘类型中定义无电力边缘类型的第一边缘类型的无电力单元,其中所述无电力单元被配置为不提供到所述电力供应网的所述电力供应轨的可用电力连接。
8.根据权利要求6所述的方法,其中所述特殊单元是指具有所述边缘类型中定义扩展电力边缘类型的第二边缘类型的扩展电力单元,其中所述扩展电力单元被配置为提供可选择性地连接到相邻标准单元的电力供应轨的扩展电力供应轨。
9.根据权利要求6所述的方法,其中所述特殊单元是指具有所述边缘类型中定义电力间隙边缘类型的第三边缘类型的电力间隙单元,其中所述电力间隙单元被配置为提供具有间隙空间间隔的中断电力轨,所述间隙空间间隔允许所述电力间隙单元的所述中断电力轨的不同段选择性地连接到不同电力供应网。
10.根据权利要求1所述的方法,还包括:
采用所述放置与布线工具,以便在连接到不同电力供应网时维持在所述标准单元的所述电力供应轨之间的间隙。
11.一种设备,包括:
标准单元阵列,所述标准单元阵列被布置成包括上行、一个或多个内部行和下行的多个行的堆叠,其中每个标准单元具有带有可配置电力供应轨的单元架构,并且其中所述多个行中的每个行具有所述可配置电力供应轨的多个轨位置,所述多个轨位置包括:
上可配置电力供应轨的上轨位置,所述上可配置电力供应轨在所述一个或多个内部行的上相邻行中的上相邻标准单元之间共享,或者设置在所述阵列的用于所述上行的上外边缘处,以及
下可配置电力供应轨的下轨位置,所述下可配置电力供应轨在下相邻行中的下相邻标准单元之间共享,或者设置在所述阵列的用于所述下行的下外边缘处。
12.根据权利要求11所述的设备,其中所述可配置电力供应轨以交替配置耦接到初级电力供应和初级接地供应。
13.根据权利要求11所述的设备,其中:
用于每个标准单元的至少一个其他可配置电力供应轨被配置为移除轨、单段轨或多段轨。
14.根据权利要求13所述的设备,其中:
所述移除轨是指在所述多个轨位置中的一个或多个轨位置不存在可配置供应轨,
所述单段轨是指在所述多个轨位置中的一个或多个轨位置存在耦接到初级电力供应、耦接到次级电力供应或未连接到任何电力供应的单段供应轨,并且
所述多段轨是指以间隙空间间隔分开的多个段,以便在耦接到初级电力供应、耦接到次级电力供应或不连接到任何电力供应的不同轨段之间提供中断。
15.根据权利要求11所述的设备,其中:
参考多行、单段配置,每个标准单元的至少一个可配置电力供应轨耦接到初级电力供应或次级电力供应或者不连接到任何电力供应,
参考多行、多段配置,每个标准单元的至少一个可配置电力供应轨耦接到初级电力供应或次级电力供应或者不连接到任何电力供应。
16.一种设备,包括:
多单元架构,所述多单元架构具有多种单元类型的多个单元结构,
其中每个单元结构具有背面电力分配网络,其中背面电力轨布置成所述多种单元类型,并且
其中每个单元结构具有接地边缘和提供无电力边缘、扩展电力边缘或具有中断的电力边缘的配置边缘。
17.根据权利要求16所述的设备,其中:
每个单元结构包括所述背面电力分配网络,其中所述背面电力轨布置成所述多种单元类型,以便提供初级电力供应、次级电力供应和接地中的至少一者。
18.根据权利要求17所述的设备,其中所述多种单元类型包括:
第一单元类型,所述第一单元类型具有耦接到接地的所述接地边缘和提供所述无电力边缘的所述配置边缘。
19.根据权利要求17所述的设备,其中所述多种单元类型包括:
第二单元类型,所述第二单元类型具有耦接到接地的所述接地边缘和提供耦接到所述初级电力供应或所述次级电力供应的所述扩展电力边缘的所述配置边缘。
20.根据权利要求17所述的设备,其中所述多种单元类型包括:
第三单元类型,所述第三单元类型具有耦接到接地的所述接地边缘和提供耦接到所述初级电力供应或所述次级电力供应的所述电力边缘的所述配置边缘,并且其中所述电力边缘具有中断,其中另一个无电力边缘插入在由所述中断提供的间隙空间间隔中。
CN202111302958.2A 2020-11-06 2021-11-04 带有背面电力轨的单元架构 Pending CN114444428A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/091,229 US11836432B2 (en) 2020-11-06 2020-11-06 Cell architecture with backside power rails
US17/091,229 2020-11-06

Publications (1)

Publication Number Publication Date
CN114444428A true CN114444428A (zh) 2022-05-06

Family

ID=81362853

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111302958.2A Pending CN114444428A (zh) 2020-11-06 2021-11-04 带有背面电力轨的单元架构

Country Status (4)

Country Link
US (2) US11836432B2 (zh)
KR (1) KR20220061900A (zh)
CN (1) CN114444428A (zh)
TW (1) TW202219808A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11055469B2 (en) * 2018-07-31 2021-07-06 Taiwan Semiconductor Manufacturing Company Ltd. Power structure with power pick-up cell connecting to buried power rail
US11557583B2 (en) * 2020-09-10 2023-01-17 Arm Limited Cell architecture
US11942469B2 (en) * 2021-02-08 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Backside conducting lines in integrated circuits
US11817394B2 (en) * 2021-10-11 2023-11-14 International Business Machines Corporation Semiconductor circuit power delivery

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8451026B2 (en) * 2011-05-13 2013-05-28 Arm Limited Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
US9665680B2 (en) * 2014-05-30 2017-05-30 Regents Of The University Of Minnesota Cell-level signal electromigration
US20200042667A1 (en) * 2015-07-15 2020-02-06 E-System Design, Inc. Modeling of Power Distribution Networks for Path Finding
US10114919B2 (en) * 2016-02-12 2018-10-30 Globalfoundries Inc. Placing and routing method for implementing back bias in FDSOI
US9973191B2 (en) * 2016-07-05 2018-05-15 Apple Inc. Power saving with dual-rail supply voltage scheme
US10586765B2 (en) * 2017-06-22 2020-03-10 Tokyo Electron Limited Buried power rails
US10580730B2 (en) * 2017-11-16 2020-03-03 International Business Machines Corporation Managed integrated circuit power supply distribution
KR102518811B1 (ko) * 2018-06-25 2023-04-06 삼성전자주식회사 멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법
US10886224B2 (en) * 2019-05-22 2021-01-05 Samsung Electronics Co., Ltd. Power distribution network using buried power rail
US11709985B2 (en) * 2020-01-22 2023-07-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device including standard cells with combined active region

Also Published As

Publication number Publication date
US20220147679A1 (en) 2022-05-12
KR20220061900A (ko) 2022-05-13
US20220188496A1 (en) 2022-06-16
US11836432B2 (en) 2023-12-05
TW202219808A (zh) 2022-05-16

Similar Documents

Publication Publication Date Title
CN114444428A (zh) 带有背面电力轨的单元架构
US10083269B2 (en) Computer implemented system and method for generating a layout of a cell defining a circuit component
US8423946B1 (en) Circuitry having programmable power rails, architectures, apparatuses, and systems including the same, and methods and algorithms for programming and/or configuring power rails in an integrated circuit
US7989849B2 (en) Apparatuses and methods for efficient power rail structures for cell libraries
US8479141B1 (en) Automation using spine routing
US6308309B1 (en) Place-holding library elements for defining routing paths
US6766503B2 (en) Method and apparatus for circuit design
JP2008070924A (ja) 半導体集積回路設計方法、半導体集積回路設計プログラム、及び半導体集積回路設計装置
CN107038276B (zh) 集成电路及其制造方法
KR20180035526A (ko) 반도체 장치의 설계 방법
US20240037309A1 (en) Multiplexer
US11392743B2 (en) Multiplexer
US6184711B1 (en) Low impact signal buffering in integrated circuits
KR20170094744A (ko) 집적 회로 및 상기 집적 회로의 제조를 위한 컴퓨터 구현 방법
US8103989B2 (en) Method and system for changing circuits in an integrated circuit
JP4765259B2 (ja) 半導体集積回路とその設計装置、設計方法および設計プログラム
US20140068535A1 (en) System and method for configuring a transistor device using rx tuck
US20240021600A1 (en) Semiconductor devices and methods of manufacturing thereof
US20220165706A1 (en) Semiconductor structure of cell array
US10423754B1 (en) Electrostatic discharge cell placement using effective resistance
Huijbregts et al. Magma Low-Power Flow
Jaiswal Physical Implementation & Power/Delay Optimization of a Subsystem
Ferguson et al. Solutions for maximizing: die yield at 0. l3 [micro] m.(Yield)
JP2000260877A (ja) 半導体集積回路のレイアウト設計方法
Moreira Physical Design Implementation and Engineering Change Order Flow

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination