CN113504711A - 光刻显影的检测方法 - Google Patents

光刻显影的检测方法 Download PDF

Info

Publication number
CN113504711A
CN113504711A CN202110718269.3A CN202110718269A CN113504711A CN 113504711 A CN113504711 A CN 113504711A CN 202110718269 A CN202110718269 A CN 202110718269A CN 113504711 A CN113504711 A CN 113504711A
Authority
CN
China
Prior art keywords
test
wafers
development
film
tested
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110718269.3A
Other languages
English (en)
Other versions
CN113504711B (zh
Inventor
张顾斌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huahong Grace Semiconductor Manufacturing Corp
Original Assignee
Shanghai Huahong Grace Semiconductor Manufacturing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huahong Grace Semiconductor Manufacturing Corp filed Critical Shanghai Huahong Grace Semiconductor Manufacturing Corp
Priority to CN202110718269.3A priority Critical patent/CN113504711B/zh
Publication of CN113504711A publication Critical patent/CN113504711A/zh
Application granted granted Critical
Publication of CN113504711B publication Critical patent/CN113504711B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

本申请公开了一种光刻显影的检测方法,包括:分别在N个测试晶圆上涂布光阻,N个测试晶圆中每个测试晶圆在涂布光阻的过程中的工艺参数相同,N为自然数,N≥2;分别对N个测试晶圆进行曝光,对于任意两个测试晶圆,在曝光的过程中使用的掩模板的透光率不同;分别对N个测试晶圆进行显影,在每个测试晶圆上形成测试图形,每个测试晶圆在显影的过程中的工艺参数相同;根据测试图形对显影进行评估。本申请通过对至少两个测试晶圆采用相同的光刻工艺,不同透光率的掩模板进行光刻,在每个测试晶圆上形成测试图形,从而根据测试图形对显影进行评估能够更加全面地评估在不同透光率的掩模板下进行曝光对显影的影响,从而提高了对光刻显影检测的准确度。

Description

光刻显影的检测方法
技术领域
本申请涉及半导体制造技术领域,具体涉及一种光刻显影的检测方法。
背景技术
图形化是指在晶圆上建立图形的各种工艺,是半导体工艺的过程中最重要的工艺之一,用来在不同的器件和电路之间建立图形的工艺过程,其中光刻工艺是重要的图形化工艺之一。
光刻工艺包括涂覆光阻、曝光、显影等步骤,其中,显影是对未聚合的光阻进行化学分解使图形显现的过程,其技术目的是尽量将掩模板上的图形完全一样地复制到光阻上,因为不良的显影会造成一系列的问题,例如,显影不充分会导致开孔的尺寸不正确,或是开孔的侧面内凹,有时还会造成显影不够深而在开孔内留下一层光阻。
相关技术中,在进行显影后,会通过显微镜观察显影后图形,检测图形是否具有缺陷从而确定该显影工艺是否存在问题。然而,仅通过显微镜观察本次显影后的图形对显影工艺进行检测,其检测结果并不准确。
发明内容
本申请提供了一种光刻显影的检测方法,可以解决相关技术中仅通过显微镜观察显影后的图形对显影工艺进行检测的检测结果不准确的问题。
一方面,本申请实施例提供了一种光刻显影的检测方法,包括:
分别在N个测试晶圆上涂布光阻,所述N个测试晶圆中每个测试晶圆在涂布光阻的过程中的工艺参数相同,N为自然数,N≥2;
分别对所述N个测试晶圆进行曝光,对于所述N个测试晶圆中的任意两个测试晶圆,在所述曝光的过程中使用的掩模板的透光率不同;
分别对所述N个测试晶圆进行显影,在所述每个测试晶圆上形成测试图形,每个所述测试晶圆在所述显影的过程中的工艺参数相同;
根据所述测试图形对所述显影进行评估。
可选的,对于所述任意两个测试晶圆,在所述曝光的过程中使用的掩模板的透光率的差异大于15%。
可选的,在所述曝光的过程中使用的掩模板中,存在透光率小于10%的掩模板。
可选的,所述根据所述测试图形对所述显影进行评估,包括:
观测所述测试图形的形貌,得到观测结果;
对比所述每个测试图形的观测结果,当确定所述测试图形中存在缺陷时,确定所述显影需要进行改进。
可选的,所述分别在N个测试晶圆上涂布光阻之前,还包括:
分别在所述N个测试晶圆上生成待测试的薄膜。
可选的,所述待测试的薄膜包括氮化硅(SiN)薄膜、二氧化硅(SiO2)薄膜、氮氧化硅(SiON)薄膜、硅(Si)薄膜或金属薄膜。
可选的,所述分别在所述N个测试晶圆上生成待测试的薄膜之后,还包括:
对所述待测试的薄膜进行表面处理。
可选的,所述对所述待测试的薄膜进行表面处理,包括:
对所述待测试的薄膜进行脱水、亲水、酸化、碱化或灰化。
本申请技术方案,至少包括如下优点:
通过对至少两个测试晶圆采用相同的光刻工艺,不同透光率的掩模板进行光刻,在每个测试晶圆上形成测试图形,从而根据测试图形对显影进行评估能够更加全面地评估在不同透光率的掩模板下进行曝光对显影工艺的影响,从而提高了对光刻显影检测的准确度。
附图说明
为了更清楚地说明本申请具体实施方式或现有技术中的技术方案,下面将对具体实施方式或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图是本申请的一些实施方式,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1是本申请一个示例性实施例提供的光刻显影的检测方法的流程图;
图2是通过透光率较高的掩模板进行光刻后形成的测试图形的剖面示意图;
图3是通过透光率较低的掩模板进行光刻后形成的测试图形的剖面示意图;
图4是具有缺陷的测试图形的剖面示意图。
具体实施方式
下面将结合附图,对本申请中的技术方案进行清楚、完整的描述,显然,所描述的实施例是本申请的一部分实施例,而不是全部的实施例。基于本申请中的实施例,本领域普通技术人员在不做出创造性劳动的前提下所获得的所有其它实施例,都属于本申请保护的范围。
在本申请的描述中,需要说明的是,术语“中心”、“上”、“下”、“左”、“右”、“竖直”、“水平”、“内”、“外”等指示的方位或位置关系为基于附图所示的方位或位置关系,仅是为了便于描述本申请和简化描述,而不是指示或暗示所指的装置或元件必须具有特定的方位、以特定的方位构造和操作,因此不能理解为对本申请的限制。此外,术语“第一”、“第二”、“第三”仅用于描述目的,而不能理解为指示或暗示相对重要性。
在本申请的描述中,需要说明的是,除非另有明确的规定和限定,术语“安装”、“相连”、“连接”应做广义理解,例如,可以是固定连接,也可以是可拆卸连接,或一体地连接;可以是机械连接,也可以是电气连接;可以是直接相连,也可以通过中间媒介间接相连,还可以是两个元件内部的连通,可以是无线连接,也可以是有线连接。对于本领域的普通技术人员而言,可以具体情况理解上述术语在本申请中的具体含义。
此外,下面所描述的本申请不同实施方式中所涉及的技术特征只要彼此之间未构成冲突就可以相互结合。
参考图1,其示出了本申请一个示例性实施例提供的光刻显影的检测方法的流程图,该方法包括:
步骤101,分别在N个测试晶圆上涂布光阻,N个测试晶圆中每个测试晶圆在涂布光阻的过程中的工艺参数相同,N为自然数,N≥2。
示例性的,可准备N个测试晶圆,依次在涂胶机台上采用相同的工艺参数旋涂光阻;或在多个测试机台上采用相同的工艺参数旋涂光阻。
可选的,为了测试薄膜层对显影的影响,可在步骤101之前,采用相同的工艺参数,分别在N个测试晶圆上生成待测试的薄膜。示例性的,待测试的薄膜可包括氮化硅薄膜、二氧化硅薄膜、氮氧化硅薄膜、硅薄膜或金属薄膜。
可选的,为了测试表面处理对显影的影响,可在生成待测试的薄膜之后,对待测试的薄膜进行表面处理。示例性的,该表面处理的方式可以是:对待测试的薄膜进行脱水、亲水、酸化、碱化或灰化。
步骤102,分别对N个测试晶圆进行曝光,对于N个测试晶圆中的任意两个测试晶圆,在曝光的过程中使用的掩模板的透光率不同。
可选的,在曝光的过程中,使用的掩模板的透光率的差异大于15%,存在透光率小于10%的掩模板。例如,具有三个测试晶圆,可依次使用掩模板1、掩模板2和掩模板3,采用相同的工艺参数,分别对涂布有光阻的三个测试晶圆进行曝光。掩模板1、掩模板2和掩模板3两两之间的透光率的差异大于15%,且存在一个掩模板的透光率小于10%。通过将掩模板之间的透光率差异设置为大于15%,且存在一个透光率小于10%的掩模板,能够更加准确地反映透光率对光刻的影响。
步骤103,分别对N个测试晶圆进行显影,在每个测试晶圆上形成测试图形,每个测试晶圆在显影的过程中的工艺参数相同。
示例性的,如图2和图3所示,本申请实施例中,具有两个测试晶圆211和212,可采用相同的工艺参数分别在测试晶圆211和212上形成待测试的薄膜221和222,采用相同的工艺参数分别在测试晶圆211和212上涂布光阻300,通过不同透光率的掩模板,采用相同的工艺参数进行曝光后,采用相同的工艺参数进行显影后,分别形成测试图形201和测试图形202。其中,对测试晶圆211进行曝光的掩模板的透光率大于对测试晶圆212进行曝光的掩模板,且对测试晶圆211进行曝光的掩模板和对测试晶圆212进行曝光的掩模板的透光率的差异大于15%,对测试晶圆212进行曝光的掩模板的透光率小于10%。
步骤104,根据测试图形对显影进行评估。
示例性的,可通过显微镜观测测试图形的形貌,得到观测结果;对比每个测试图形的观测结果,当确定测试图形中存在缺陷时,确定显影需要进行改进。如图4所示,测试晶圆213上形成有待测试的薄膜223,通过上述步骤后形成的测试图形203具有缺陷(由于透光率较低,显影的区域难以到达目标深度),因此需要对显影进行改进。
综上所述,本申请实施例中,通过对至少两个测试晶圆采用相同的光刻工艺,不同透光率的掩模板进行光刻,在每个测试晶圆上形成测试图形,从而根据测试图形对显影进行评估能够更加全面地评估在不同透光率的掩模板下进行曝光对显影工艺的影响,从而提高了对光刻显影检测的准确度。
显然,上述实施例仅仅是为清楚地说明所作的举例,而并非对实施方式的限定。对于所属领域的普通技术人员来说,在上述说明的基础上还可以做出其它不同形式的变化或变动。这里无需也无法对所有的实施方式予以穷举。而由此所引伸出的显而易见的变化或变动仍处于本申请创造的保护范围之中。

Claims (8)

1.一种光刻显影的检测方法,其特征在于,包括:
分别在N个测试晶圆上涂布光阻,所述N个测试晶圆中每个测试晶圆在涂布光阻的过程中的工艺参数相同,N为自然数,N≥2;
分别对所述N个测试晶圆进行曝光,对于所述N个测试晶圆中的任意两个测试晶圆,在所述曝光的过程中使用的掩模板的透光率不同;
分别对所述N个测试晶圆进行显影,在所述每个测试晶圆上形成测试图形,每个所述测试晶圆在所述显影的过程中的工艺参数相同;
根据所述测试图形对所述显影进行评估。
2.根据权利要求1所述的方法,其特征在于,对于所述任意两个测试晶圆,在所述曝光的过程中使用的掩模板的透光率的差异大于15%。
3.根据权利要求2所述的方法,其特征在于,在所述曝光的过程中使用的掩模板中,存在透光率小于10%的掩模板。
4.根据权利要求3所述的方法,其特征在于,所述根据所述测试图形对所述显影进行评估,包括:
观测所述测试图形的形貌,得到观测结果;
对比所述每个测试图形的观测结果,当确定所述测试图形中存在缺陷时,确定所述显影需要进行改进。
5.根据权利要求1至4任一所述的方法,其特征在于,所述分别在N个测试晶圆上涂布光阻之前,还包括:
分别在所述N个测试晶圆上生成待测试的薄膜。
6.根据权利要求5所述的方法,其特征在于,所述待测试的薄膜包括氮化硅薄膜、二氧化硅薄膜、氮氧化硅薄膜、硅薄膜或金属薄膜。
7.根据权利要求6所述的方法,其特征在于,所述分别在所述N个测试晶圆上生成待测试的薄膜之后,还包括:
对所述待测试的薄膜进行表面处理。
8.根据权利要求7所述的方法,其特征在于,所述对所述待测试的薄膜进行表面处理,包括:
对所述待测试的薄膜进行脱水、亲水、酸化、碱化或灰化。
CN202110718269.3A 2021-06-28 2021-06-28 光刻显影的检测方法 Active CN113504711B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110718269.3A CN113504711B (zh) 2021-06-28 2021-06-28 光刻显影的检测方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110718269.3A CN113504711B (zh) 2021-06-28 2021-06-28 光刻显影的检测方法

Publications (2)

Publication Number Publication Date
CN113504711A true CN113504711A (zh) 2021-10-15
CN113504711B CN113504711B (zh) 2023-05-02

Family

ID=78011204

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110718269.3A Active CN113504711B (zh) 2021-06-28 2021-06-28 光刻显影的检测方法

Country Status (1)

Country Link
CN (1) CN113504711B (zh)

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174632B1 (en) * 1999-03-05 2001-01-16 Advanced Micro Devices, Inc. Wafer defect detection method utilizing wafer with development residue attracting area
JP2002015992A (ja) * 2000-04-25 2002-01-18 Nikon Corp リソグラフィ・プロセス及びリソグラフィ・システムの評価方法、基板処理装置の調整方法、リソグラフィ・システム、露光方法及び装置、並びに感光材料の状態の測定方法
CN102023488A (zh) * 2009-09-09 2011-04-20 中芯国际集成电路制造(上海)有限公司 监测光刻工艺曝光机的能量偏移的方法
CN102314074A (zh) * 2010-06-30 2012-01-11 上海微电子装备有限公司 掩模版和掩模版制作方法
CN106842824A (zh) * 2017-02-27 2017-06-13 京东方科技集团股份有限公司 一种图形失真的检测方法及结构
CN107153324A (zh) * 2017-06-22 2017-09-12 深圳市华星光电技术有限公司 光罩结构及阵列基板制造方法
CN209400853U (zh) * 2018-11-19 2019-09-17 上海华力微电子有限公司 一种用于监控尼康光刻机底部镜头雾化散光程度的掩膜板
CN110989287A (zh) * 2019-12-23 2020-04-10 合肥维信诺科技有限公司 光刻掩膜版和光刻掩膜版的检测方法
CN111258186A (zh) * 2020-03-05 2020-06-09 上海华力集成电路制造有限公司 筛选sraf在光刻胶上显影的光强阈值和预测其被曝光显影的风险的方法
CN112015046A (zh) * 2020-10-30 2020-12-01 南京晶驱集成电路有限公司 图形显影情况的检测方法
CN114488703A (zh) * 2021-12-10 2022-05-13 武汉新芯集成电路制造有限公司 刻蚀方案的确定方法、测试掩模板以及刻蚀系统

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174632B1 (en) * 1999-03-05 2001-01-16 Advanced Micro Devices, Inc. Wafer defect detection method utilizing wafer with development residue attracting area
JP2002015992A (ja) * 2000-04-25 2002-01-18 Nikon Corp リソグラフィ・プロセス及びリソグラフィ・システムの評価方法、基板処理装置の調整方法、リソグラフィ・システム、露光方法及び装置、並びに感光材料の状態の測定方法
CN102023488A (zh) * 2009-09-09 2011-04-20 中芯国际集成电路制造(上海)有限公司 监测光刻工艺曝光机的能量偏移的方法
CN102314074A (zh) * 2010-06-30 2012-01-11 上海微电子装备有限公司 掩模版和掩模版制作方法
CN106842824A (zh) * 2017-02-27 2017-06-13 京东方科技集团股份有限公司 一种图形失真的检测方法及结构
CN107153324A (zh) * 2017-06-22 2017-09-12 深圳市华星光电技术有限公司 光罩结构及阵列基板制造方法
CN209400853U (zh) * 2018-11-19 2019-09-17 上海华力微电子有限公司 一种用于监控尼康光刻机底部镜头雾化散光程度的掩膜板
CN110989287A (zh) * 2019-12-23 2020-04-10 合肥维信诺科技有限公司 光刻掩膜版和光刻掩膜版的检测方法
CN111258186A (zh) * 2020-03-05 2020-06-09 上海华力集成电路制造有限公司 筛选sraf在光刻胶上显影的光强阈值和预测其被曝光显影的风险的方法
CN112015046A (zh) * 2020-10-30 2020-12-01 南京晶驱集成电路有限公司 图形显影情况的检测方法
CN114488703A (zh) * 2021-12-10 2022-05-13 武汉新芯集成电路制造有限公司 刻蚀方案的确定方法、测试掩模板以及刻蚀系统

Also Published As

Publication number Publication date
CN113504711B (zh) 2023-05-02

Similar Documents

Publication Publication Date Title
US9099353B2 (en) Method and system for determining overlap process windows in semiconductors by inspection techniques
US6562639B1 (en) Utilizing electrical performance data to predict CD variations across stepper field
US7483156B2 (en) Method for measuring overlay and overlay mark used therefor
CN113504711B (zh) 光刻显影的检测方法
JP2005300421A (ja) 薬液の認定方法および半導体装置の製造方法
CN111710617B (zh) 半导体结构的检测方法及半导体结构
US5928820A (en) Method for measuring pattern line width during manufacture of a semiconductor device
US7045254B2 (en) Mask with programmed defects and method for the fabrication thereof
US7573568B2 (en) Method and apparatus for detecting a photolithography processing error, and method and apparatus for monitoring a photolithography process
CN113589641B (zh) 相移掩模的制作方法
KR100559619B1 (ko) 중첩도 측정용 정렬 마크 및 그 제조 방법
US7675632B2 (en) Exposure apparatus and device manufacturing method
US6656647B2 (en) Method for examining structures on a wafer
KR20060035083A (ko) 오버레이 측정 방법
JPH1090116A (ja) フォトリソグラフィー工程におけるデフォーカス検出方法およびそれに用いるレチクル
KR101037488B1 (ko) 반도체 공정에 이용되는 레티클
JP2023048712A (ja) 感光性組成物の検査方法、及び感光性組成物の製造方法
KR20000009899A (ko) 사진공정의 포커스 불량 판별방법
JPH0774074A (ja) レチクル
KR100579861B1 (ko) 노광 장비의 렌즈 분석을 위한 마스크막 및 이를 이용한렌즈 분석 방법
JP2005244153A (ja) 露光装置の検査デバイス及び方法
KR100649003B1 (ko) 마스크 검사방법
CN113506754A (zh) 光阻剥落的检测方法
KR100442058B1 (ko) 오버레이 측정 타겟 및 그를 이용한 해상도 측정방법
CN117882011A (zh) 监测光刻过程的方法以及相关设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant