CN113496916A - 半导体制造设备的控制系统及方法 - Google Patents

半导体制造设备的控制系统及方法 Download PDF

Info

Publication number
CN113496916A
CN113496916A CN202110274963.0A CN202110274963A CN113496916A CN 113496916 A CN113496916 A CN 113496916A CN 202110274963 A CN202110274963 A CN 202110274963A CN 113496916 A CN113496916 A CN 113496916A
Authority
CN
China
Prior art keywords
signal
input signal
subsystem
semiconductor manufacturing
simulation result
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110274963.0A
Other languages
English (en)
Other versions
CN113496916B (zh
Inventor
锺政廷
林维斌
黄庆茂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanya Technology Corp
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Publication of CN113496916A publication Critical patent/CN113496916A/zh
Application granted granted Critical
Publication of CN113496916B publication Critical patent/CN113496916B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/042Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a parameter or coefficient is automatically adjusted to optimise the performance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

本公开提供一种半导体制造设备的控制系统及方法。该控制系统具有一感测器、一感测器接口以及一分析单元。该感测器提供一感测信号。该感测器接口接收该感测信号,并产生用于一数据库服务器的一输入信号。一前端子系统接收来自该数据库服务器的该输入信号,并执行一比较程序,以产生一数据信号。一计算子系统依据该数据信号而执行一人工智能分析程序,以产生一最佳化参数设定以及一模拟结果图。一信息暨调整子系统依据该最佳化参数设定与该模拟结果图而产生一警报信号以及一反馈信号,且该信息暨调整子系统传送该警报信号到该半导体制造设备的一使用者。

Description

半导体制造设备的控制系统及方法
技术领域
本公开主张2020年3月20日申请的美国正式申请案第16/825,889的优先权及益处,该美国正式申请案的内容以全文引用的方式并入本文中。
背景技术
由于集成密度的改善,所以半导体产业经历了快速成长。例如蚀刻工具的半导体制造设备为了最佳化蚀刻均匀度,一般需要不断调整工艺参数。然而,使用例如光学临界尺寸映射(optical critical-dimension mapping)的技术的蚀刻工具的人工检测与调整,由于人为失误(human error)而通常导致浪费工艺时间(lost processing time)以及不良产品(defective product)。据此,设备的控制系统及方法需要有效提供设备调整的最佳化工艺参数、模拟预测结果以及预先警示。
上文的“现有技术”说明仅是提供背景技术,并未承认上文的“现有技术”说明公开本公开的标的,不构成本公开的现有技术,且上文的“现有技术”的任何说明均不应作为本公开的任一部分。
发明内容
本公开的一实施例提供一种半导体制造设备的控制系统,包括一感测器、一感测器接口以及一分析单元。该感测器提供代表该半导体制造设备的至少一感测信号。该感测器接口接收该至少一感测器信号,并为一数据库服务器产生至少一输入信号。该分析单元包括一前端子系统、一计算子系统以及一信息暨调整子系统。该前端子系统接收来自该数据库服务器的该至少一输入信号,并执行一比较程序,以产生一数据信号。该计算子系统接收来自该前端子系统的该数据信号,其中该计算子系统依据该数据信号而执行一人工智能(artificial intelligence,AI)分析程序,以产生一最佳化参数设定以及一模拟结果图。该信息暨调整子系统依据该最佳化参数设定与该模拟结果图而产生一警报信号以及一反馈信号,其中该信息暨调整子系统传送该警报信号到该半导体制造设备的一使用者。
在本公开的一些实施例中,该前端子系统包括一抽取转化装载(ExtractTransform Load,ETL)模块,依据该至少一输入信号是否在至少一临界值的一范围内或者是该至少一输入信号是否超出该至少一临界值,以比较该至少一输入信号与该至少一临界值,并产生该数据信号。
在本公开的一些实施例中,该计算子系统依据通过萃取一输入参数设定、计算在每一数据点的高度、深度、距离及/或遮罩值的其中一或多个、执行对于每一数据点的一统计模型预测以及确定一预测结果的该数据信号,以产生该最佳化参数设定与该模拟结果图。
在本公开的一些实施例中,该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及电容参数(capacitance parameters)。
在本公开的一些实施例中,该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及径向组装刻度盘参数(radial assembly dial parameters)。
在本公开的一些实施例中,该信息暨调整子系统传送该反馈信号,以依据该最佳化参数设定与该模拟结果图而进行该半导体制造设备的一自动调整程序。
在本公开的一些实施例中,该半导体制造设备包括一或多个蚀刻工具(etchingtools)。
本公开的另一实施例提供一种半导体制造设备的监控系统,包括一感测器、一感测器接口、一或多个处理器以及一或多个电脑可读非暂时性存储媒体(computer-readablenon-transitory storage media)。该感测器提供代表该半导体制造设备的至少一感测器信号。该感测器接口接收该至少一感测器信号,并为一数据库服务器产生至少一输入信号。该一或多个电脑可读非暂时性存储媒体是耦接到该一或多个处理器,并包括由该一或多个处理器执行时可操作以使该系统执行以下指令:接收来自该数据库服务器的该至少一输入信号,并执行一比较程序,以产生一数据信号;接收从该前端子系统的该数据信号,其中该计算子系统依据该数据信号而执行一人工智能分析程序,以产生一最佳化参数设定以及一模拟结果图;以及产生一警报信号以及一反馈信号,其是依据该最佳化参数设定与该模拟结果图所实现,并传输该警报信息给该半导体制造设备的一使用者。
在本公开的一些实施例中,该一或多个电脑可读非暂时性存储媒体还包括由该一或多个处理器执行时可操作以使该系统执行以下指令:执行抽取转化装载服务、比较该至少一输入信号与至少一临界值,以及依据该至少一输入信号是否在该至少一临界值的一范围内,或者是该至少一输入信号是否超过该临界值而产生该数据信号。
在本公开的一些实施例中,该最佳化参数设定与该模拟结果图是依据通过萃取一输入参数设定、计算在每一数据点的高度、深度、距离及/或遮罩值的其中一或多个、执行对于每一数据点的一统计模型预测以及确定一预测结果的该数据信号所产生。
在本公开的一些实施例中,该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及电容参数。
在本公开的一些实施例中,该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及径向组装刻度盘参数。
在本公开的一些实施例中,该一或多个电脑可读非暂时性存储媒体还包括由该一或多个处理器执行时可操作以使该系统执行以下指令:传送该反馈信号,以依据该最佳化参数设定与该模拟结果图而进行该半导体制造设备的一自动调整程序。
在本公开的一些实施例中,该半导体制造设备包括一或多个蚀刻工具。
本公开的另一实施例提供一种半导体制造设备的控制方法,包括提供代表该半导体制造设备的至少一感测器信号,其是通过一感测器所实现;接收该至少一感测器信号,并为一数据库服务器产生至少一输入信号,其是通过一感测器接口所实现;接收来自该数据库服务器的该至少一输入信号,并执行一比较程序,以产生一数据信号,其是通过一前端子系统所实现;接收来自该前端子系统的该数据信号,并依据该数据信号而执行一人工智能分析程序,以产生一最佳化参数设定以及一模拟结果图,其是通过一计算子系统所实现;以及产生一警报信号以及一反馈信号,其是依据该最佳化参数设定与该模拟结果图并通过一信息暨调整子系统所实现,其中该信息暨调整子系统传送该警报信息到该半导体制造设备的一使用者。
在本公开的一些实施例中,该控制方法还包括:比较该至少一输入信号与至少一临界值,并产生该数据信号,其是依据该至少一输入信号是否在该至少一临界值的一范围内,或者该至少一输入信号是否超出该至少一临界值,并通过该前端子系统的一一抽取转化装载模块所实现。
在本公开的一些实施例中,该控制方法还包括:产生该最佳化参数设定与该模拟结果图,其是依据通过萃取一输入参数设定、计算在每一数据点的高度、深度、距离及/或遮罩值的其中一或多个、执行对于每一数据点的一统计模型预测以及确定一预测结果的该数据信号,并通过该计算子系统所实现。
在本公开的一些实施例中,该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及电容参数。
在本公开的一些实施例中,该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及径向组装刻度盘参数。
在本公开的一些实施例中,该控制方法还包括:传送该反馈信号,以进行该半导体制造设备的一自动调整程序,其是依据该最佳化参数设定与该模拟结果图并通过该信息暨调整子系统所实现,其中该半导体制造设备包括一或多个蚀刻工具。
据此,该半导体制造设备的所述多个控制系统与所述多个控制方法是提供多个自动化人工智能分析子系统与程序,而所述多个自动化人工智能分析子系统与程序可预测例如蚀刻工具的设备的最佳化工艺参数。由于分析单元具有该智慧计算子系统与该信息暨调整子系统,所以蚀刻工具的操作人员可监控并最佳化设备的最佳化参数,并接收关于工艺参数的状态的预先警示。再者,由于如此系统与方法的该自动化参数最佳化与设备调整,所以可最小化人为错误、工艺时间以及不良品(defective products)。
上文已相当广泛地概述本公开的技术特征及优点,从而使下文的本公开详细描述得以获得优选了解。构成本公开的权利要求标的的其它技术特征及优点将描述于下文。本公开所属技术领域中技术人员应了解,可相当容易地利用下文公开的概念与特定实施例可作为修改或设计其它结构或工艺而实现与本公开相同的目的。本公开所属技术领域中技术人员亦应了解,这类等效建构无法脱离权利要求所界定的本公开的构思和范围。
附图说明
参阅实施方式与权利要求合并考量附图时,可得以更全面了解本公开的公开内容,附图中相同的元件符号是指相同的元件。
图1为依据本公开一实施例中一种半导体制造设备的方框示意图。
图2为依据本公开一实施例中一种半导体制造设备的一控制平台的方框示意图。
图3为依据本公开一些实施例中一蚀刻工具的结构示意图。
图4为依据本公开一些实施例中一种半导体制造设备的控制系统的方框示意图。
图5为依据本公开一些实施例中一种感测器接口的方框示意图。
图6为依据本公开一些实施例中一种分析单元的方框示意图。
图7为依据本公开一些实施例中一种前端子系统的方框示意图。
图8为依据本公开一些实施例中一种计算子系统的方框示意图。
图9A为依据本公开一些实施例中至少一输入信号与其各元件之间的一关系的关系示意图。
图9B为依据本公开一些实施例中一分压电容蚀刻调整的结构是示意图。
图10A为依据本公开一些实施例中至少一输入信号与其各元件之间的一关系的关系示意图。
图10B为依据本公开一些实施例中一电动射频组装刻度盘蚀刻调整的结构是示意图。
图11为依据本公开一些实施例中描述一种半导体制造设备的控制系统的一使用者的方框示意图。
图12为依据本公开一些实施例中描述一种半导体制造设备的控制系统的一使用者的方框示意图。
图13为依据本公开一些实施例中一警报信号与其各元件之间的一关系的关系示意图。
图14为依据本公开一些实施例中一反馈信号与其各元件之间的一关系的关系示意图。
图15为依据本公开一些实施例中一模拟结果图的示意图。
图16为依据本公开一些实施例中一种电脑系统的方框示意图。
图17为依据本公开一些实施例中一种半导体制造设备的控制方法的流程示意图。
图18为依据本公开一些实施例中在一种半导体制造设备的控制方法中的一步骤的流程示意图。
图19为依据本公开一些实施例中在一种半导体制造设备的控制方法中的一步骤的流程示意图。
图20为依据本公开一些实施例中在一种半导体制造设备的控制方法中的一步骤的流程示意图。
附图标记说明:
1:半导体制造设备
2:沉积工具
3:化学机械研磨工具
4:光刻工具
5:蚀刻工具
6:清洗工具
7:工艺序列
11:系统
12:系统
13:系统
14:系统
20:控制平台(晶片)
25:抽取转化装载模块
30:电源供应器
31:射频匹配网络
32:线圈
33:腔室
34:工艺气体
35:静电吸盘
36:第二射频匹配网络
37:偏压电源供应器
40:信号转换器
41:滤波器
50:感测器(前端子系统)
51:计算子系统
52:信息暨调整子系统
60:抽取区域
61:转化区域
62:装载区域
70:人工智能分析模块
71:模拟结果映射模块
80:电脑系统
100:系统
101:感测器
102:感测器接口
103:数据库服务器
104:第一收发器
105:第二收发器
106:分析单元
107:网络连结
108:网络连结
109:使用者
110:用户端系统
111:图形使用者接口
112:自动调整程序
113:控制器
500:处理器
502:网络接口
504:输入/输出装置
506:存储装置
508:存储器
510:使用者空间
512:核心
514:总线
600:方法
S601:步骤
S602:步骤
S603:步骤
S6031:步骤
S6032:步骤
S604:步骤
S6041:步骤
S6042:步骤
S605:步骤
S6051:步骤
S6052:步骤
AM:警报信号
DCP:分压电容参数
DP:数据点
DS:数据信号
FB:反馈信号
IN:输入信号
IN':输入信号
IPS:输入参数设定
MRAD:电动径向组装刻度盘参数
OPS:最佳化参数设定
PR:预测结果
R:范围
SRM:模拟结果图
SS:感测器信号
THR:临界值
TM:时序参数
TR:训练数据
WS:晶元尺寸参数
具体实施方式
本公开的以下说明伴随并入且组成说明书的一部分的附图,说明本公开的实施例,然而本公开并不受限于该实施例。此外,以下的实施例可适当整合以下实施例以完成另一实施例。
应当理解,虽然用语“第一(first)”、“第二(second)”、“第三(third)”等可用于本文中以描述不同的元件、部件、区域、层及/或部分,但是这些元件、部件、区域、层及/或部分不应受这些用语所限制。这些用语仅用于从另一元件、部件、区域、层或部分中区分一个元件、部件、区域、层或部分。因此,以下所讨论的“第一装置(first element)”、“部件(component)”、“区域(region)”、“层(layer)”或“部分(section)”可以被称为第二装置、部件、区域、层或部分,而不背离本文所启示。
本文中使用的术语仅是为了实现描述特定实施例的目的,而并非限制本发明。如本文中所使用,单数形式“一(a)”、“一(an)”,及“该(the)”意欲亦包括多个形式,除非上下文中另作明确指示。将进一步理解,当术语“包括(comprises)”及/或“包括(comprising)”用于本说明书中时,所述多个术语规定所陈述的特征、整数、步骤、操作、元件,及/或组件的存在,但不排除存在或增添一或更多个其他特征、整数、步骤、操作、元件、组件,及/或上述各者的群。
为了描述膜形成(film-forming)或沉积工艺,为了一致性,在文中一般将使用术语“沉积(deposition)”。对于膜移除(film removal),将使用术语“蚀刻(etch)”,且对于一清洗移除工艺,将使用术语“清洗(clean)”。为了说明清楚或方便起见,附图可使用其他名称。
图1为依据本公开一实施例中一种半导体制造设备1的方框示意图。请参考图1,半导体制造设备1可具有多个半导体制造工具,例如一沉积工具2、一化学机械研磨(CMP)工具3、一光刻工具4、一蚀刻工具5以及一清洗工具6。典型地,是生产制造多个微电子元件形成在一晶元中的一设计,且一布局(layout)是从该设计所制作。该布局可具有多组图案,其是将转换成一或多个多种材料的堆叠层,其是应用在其制造的一工艺序列7期间的晶片,以形成各式不同电路及元件在晶片的基底上。依据一些实施例,如图1所示的半导体制造设备1的工艺序列7为一例示处理流程,其是可使用许多时间来沉积或形成多个膜在晶片的一基底上,并使用光刻及时科技术的一差异将其图案化。如此一般的制造步骤可具有使用沉积工具2的一沉积工艺、使用化学机械研磨工具3的一平坦化及/或研磨工艺、使用光刻工具4的具有一图案化光波长的一曝光工艺、使用蚀刻工具5的该膜的曝光部分的一移除工艺,以及使用该清洗工具6在用于接下来处理程序的准备中的一清洗工艺。应当理解,除了沉积、平坦化、光刻、蚀刻以及清洗的外的其他步骤,如所属技术领域中技术人员所理解的,是可应用在半导体制造设备1中。再者,沉积、平坦化、光刻、蚀刻以及清洗的每一步骤,可具有各式不同的特定步骤。因此,如图1所示的工艺序列7并应该被解释成对于本公开的一些实施例的限制。在一些实施例中,使用在沉积工具2中的沉积的例子可包括化学气相沉积(CVD)、电化学沉积(ECD)、物理气相沉积(PVD)、分子束外延(MBE)、原子曾沉积(ALD)、自组装单分子层(SAM)沉积以及其他。在一些实施例中,沉积技术可通过等离子体的产生所补充,以便稳定地影响发生在基底表面处的工艺的化学反应性(chemical reactivity)。
图2为依据本公开一实施例中一种半导体制造设备的一控制平台10的方框示意图。请参考图1及图2,控制平台10具有多个系统,用于控制并监控半导体制造设备1的所述多个制造工具。控制平台10可具有用于控制沉积工具2的一系统11、用于控制化学机械研磨工具3的一系统12、用于控制光刻工具4的一系统13、用于控制蚀刻工具5的一系统100,以及用于控制清洗工具6的一系统14。在一些实施例中,可获取测量与度量数据,以控制并最佳化由半导体制造设备1的所述多个制造工具所执行的所述多个制造流程。举例来说,为了最佳化图1的蚀刻工具5,所以系统100可具有多个子系统,以控制并监控各式不同的参数与设定,将于本公开的后的一些实施例中详述。
图3为依据本公开一些实施例中的蚀刻工具5的结构示意图。请参考图3,为了在其上产生多个图案,蚀刻工具5选择地移除在晶片20的表面上的材料。该材料可通过湿蚀刻(例如化学蚀刻)、干蚀刻(例如化学蚀刻及/或物理蚀刻)或其他形式的蚀刻而被选择地移除。虽然其他形式的蚀刻工具5可依据特定应用而被使用,但图3所示的蚀刻工具5是使用干蚀刻的一例子,称作等离子体蚀刻。蚀刻工具5具有一电源供应器30、一射频匹配网络31、多个线圈32、一腔室33、一工艺气体34、一静电吸盘(electrostatic chuck)35、一第二射频匹配网络36以及一偏压电源供应器37。一感测器101耦接到蚀刻工具5,且感测器101可具有多个探针以及其他测量工具,以提供代表蚀刻工具5的至少一感测器信号。如本公开的后的描述,在一些实施例中,由感测器101所收集的数据是可被控制系统100所使用,以最佳化蚀刻工具5的蚀刻工艺。虽然其他形式的电源供应器30与偏压电源供应器36可依据蚀刻工具5的特定应用来做使用,但蚀刻工具5的电源供应器30可为在13.56MHz的一射频功率产生器,且偏压电源供应器36可为一双频偏压电源供应器,举例来说,其是在2MHz与13MHz操作。举例来说,蚀刻工具5亦可具有多个控制器(图未示),用于调整线圈电流比、射频板高度、工艺气体34以及静电吸盘35的温度。在一些实施例中,由蚀刻工具5所执行的等离子体蚀刻是取决于被蚀刻的膜的形式而包含一适当气体混合物的一等离子体,且等离子体暴露于晶片20。等离子体可包括呈气态的带电种类(离子以及自由电子)与中性种类(原子、分子与自由基(radicals)),其是动力地与晶片20的基底或层交互作用,以移除其部分,例如通过一光刻图案而暴露的部分。
图4为依据本公开一些实施例中一种半导体制造设备的控制系统100的方框示意图。图5为依据本公开一些实施例中一种感测器接口102的方框示意图。图6为依据本公开一些实施例中一种分析单元106的方框示意图。请参考图4到图6,用于蚀刻工具5的控制系统100具有一感测器101、感测器接口102、一数据库服务器103、一第一收发器104、一第二收发器105以及分析单元106。在一些实施例中,虽然系统100的一般操作规则可应用到半导体制造设备1的控制平台10中的其他控制系统,但举例来说,半导体制造设备可具有如图3所示的蚀刻工具5。感测器101提供代表蚀刻工具5的至少一感测器信号SS。在一些实施例中,感测器接口102接收来自感测器101的至少一感测器信号SS,并产生用于数据库服务器103的至少一输入信号IN,举例来说,其是可为一数据库仓库(database warehouse)的一资造库服务器。至少一输入信号IN可通过第一收发器104而从数据库服务器103经由一网络连结107传送到第二收发器105。在一些实施例中,至少一输入信号IN在当其通过第一收发器104传送时可进行调制(modulated),而当其通过第二收发器105接收时可进行解调制(demodulated)。网络连结107可为基于所属技术领域中所熟知的有线或无线技术的任何形式的网络连结,包括不局限在红外线、光学或无线电通信手段。在一些实施例中,分析单元106接收至少一输入信号IN,并依据一最佳化参数设定OPS以及一模拟结果图SRM而执行蚀刻工具5的一人工智能(artificial intelligence,AI)分析程序,以产生一反馈信号FB。在一些实施例中,来自分析单元106的反馈信号FB是经由网络连结107而传送到蚀刻工具5,而网络连结107是介于第二收发器105与第一收发器104之间。在一些实施例中,反馈信号FB当通过第二收发器105传输时是进行调制,且当通过第一收发器104接收时则进行解调制。
请参考图5,感测器接口102具有一或多个信号转换器40以及一或多个滤波器41。在一些实施例中,所述多个信号转换器40可包括模拟数字(analog-to-digital,A/D)转换器、数字模拟(digital-to-analog,D/A)转换器,或其他适合的信号转换器。举例来说,当产生用于分析单元106的输入信号IN时,感测器接口102的所述多个信号转换器40可转换感测器信号SS从一模拟信号到一数字信号。所述多个滤波器41可包括去频叠滤波器(anti-alias filters)、低通滤波器、高通滤波器、带通(band-pass)滤波器,或其他适合用于控制蚀刻工具5的系统100的特定应用的滤波器。举例来说,所述多个滤波器41可用于改善输入信号IN的信号噪声比(signal-to-noise ratio)。在一些实施例中,在传送到蚀刻工具5之前,反馈信号FB可通过所述多个信号转换器40而转换成一相容格式(compatible format),并通过所述多个滤波器41进行滤波。
请参考图6,分析单元106具有一前端子系统50、一计算子系统51以及一信息暨调整子系统52。在一些实施例中,前端子系统50接收来自数据库服务器103的至少一输入信号IN,并执行一比较程序,以产生一数据信号DS。计算子系统51接收来自前端子系统50的数据信号DS,且计算子系统51依据数据信号DS而执行人工智能分析程序,以产生最佳化参数设定OPS与模拟结果图SRM。信息暨调整子系统52依据最佳化参数设定OPS与模拟结果图SRM而产生一警报信号AM与反馈信号FB,且信息暨调整子系统52传送警报信号AM到蚀刻工具5的一使用者。
图7为依据本公开一些实施例中一种前端子系统50的方框示意图。请参考图7,前端子系统50可包括一抽取转化装载(Extract Transform Load,ETL)模块25,其用于依据至少一输入信号IN是否在至少一临界值THR的一范围内,或是至少一输入信号IN是否超出至少一临界值THR,以比较至少一输入信号IN与至少一临界值THR,并产生数据信号DS。在一些实施例中,如图7所示,抽取转化装载模块25包括一抽取区域60、一转化区域61以及一装载区域62。在一些实施例中,抽取区域60可拆开(unpack)并萃取至少一输入信号IN。转化区域61可执行至少一输入信号IN与至少一临界值THR之间的分析程序,以及其他适合的数据完整性(data integrity)与确定程序(confirmation procedures)。装载区域62可依据由转化区域61所执行的比较程序而产生并装载数据信号DS,以使计算子系统51能够使用用于人工智能分析程序的数据信号DS。
图8为依据本公开一些实施例中一种计算子系统51的方框示意图。请参考图8,计算子系统51包括一人工智能分析模块70以及一模拟结果映射(simulated resultmapping)模块71。在一些实施例中,依据通过萃取一输入参数设定IPS、计算在每一数据点DP的高度、深度、距离及/或遮罩值的其中一或多个、执行对于每一数据点DP的一统计模型预测以及确定一预测结果PR的数据信号DS,计算子系统51产生最佳化参数设定OPS与模拟结果图SRM。在一些实施例中,由人工智能分析模块70对每一数据点DP所执行的统计模型预测,包括使用在每一数据点DP处的输入参数设定IPS的一人工智能回归分析(regressionanalysis)(例如深度学习回归分析(deep learning regression analysis))中的一训练数据TR。所述多个数据点DP可为由感测器101所获取的度量数据(metrology data)的所述多个数据点,并存储在图4的数据库服务器103中。模拟结果图SRM是基于由人工智能分析模块70与预测结果PR所执行的统计模型预测所产生,且模拟结果图SRM包括多个绘图热区模拟(graphical hot zone simulations),而所述多个绘图热区模拟为输入参数设定IPS的各式不同参数差异的预测结果PR。应当理解,由人工智能分析模块70所执行的统计模型预测与其他人工智能程序,可包括其他机械学习运算法则与技术、标准回归分析运算法则与技术,或其组合。
图9A为依据本公开一些实施例中至少一输入信号IN与其各元件之间的一关系的关系示意图。图9B为依据本公开一些实施例中一分压电容蚀刻调整(divider capacitoretch tuning)的结构是示意图。请参考图9A及图9B,在一些实施例中,至少一输入信号IN包括以下其中至少一设定:时序(timing)参数TM、晶元尺寸参数WS以及分压电容参数DCP。如图9B所示,在一些实施例中,分压电容蚀刻调整可用于调整蚀刻工具5的中心到边缘的蚀刻率均匀度,以便减轻硅晶片损失的巨负载效应(macro-loading effects)。举例来说,如图9B所示,通过降低所述多个电容参数DCP的数值,由于置放在如图3所示的蚀刻工具5的一内区域中的所述多个线圈32的电流增加,所以可提升一中心蚀刻率。
图10A为依据本公开一些实施例中至少一输入信号IN'与其各元件之间的一关系的关系示意图。图10B为依据本公开一些实施例中一电动射频组装刻度盘蚀刻调整(motorized radio frequency(RF)assembly dial etch tuning)的结构是示意图。请参考图10A及图10B,在一些实施例中,由于使用在蚀刻工具5的蚀刻调整的不同形式,所以输入信号IN'可包括多个输入参数,其是不同于输入信号IN的输入参数。举例来说,输入信号IN'包括以下至少其中一设定:时序参数TM、晶元尺寸参数WS以及电动径向组装刻度盘(motorized radial assembly dial)参数MRAD。如图10B所示,在一些实施例中,举例来说,电动径向组装刻度盘蚀刻调整可用于通过调整离子源(ion source)的高度的全面偏移映射(offset mapping),以便控制离子源控制器板,进而指出朝向如图3所示的晶元20的一中心区域。在一些实施例中,在蚀刻工具5中的电动径向组装刻度盘蚀刻调整可由步进马达所控制,其是在0到12度范围内,并沿方位角顺时针倾斜的分辨率为0.1度。应当理解,在一些实施例中,输入信号IN或IN'的参数组可同时包括分压电容参数DCP与电动径向组装刻度盘参数MARD,其用于同时控制蚀刻工具5的分压电容蚀刻调整与电动径向组装刻度盘蚀刻调整。
在一些实施例中,信息暨调整子系统52依据来自计算子系统51的最佳化参数设定OPS与模拟结果图SRM而产生警报信号AM。在一些实施例中,警报信号AM可发送到蚀刻工具5的一使用者。图11及图12为依据本公开一些实施例中描述一种蚀刻工具5的控制系统100的一使用者109的方框示意图。图13为依据本公开一些实施例中在警报信号AM与其各元件之间的一关系的关系示意图。请参考图6及图11,在一些实施例中,信息暨调整子系统52可经由一网络连结108而传送警报信号AM到使用者109,而网络连结108是建立在第二收发器105与一用户端系统(client system)110之间。如图11所示,举例来说,用户端系统110可为一台式电脑、一笔记本电脑、一智能手机、一平板电脑(tablet computer),或是能够显示警报信息AM到使用者109。举例来说,如图13所示,警报信号AM的内容可包括最佳化参数设定OPS与模拟结果SRM,并可呈现在用户端系统110上的一图形使用者接口(graphical userinterface,GUI)111上。网络连结108可为基于所属技术领域中所熟知的有线及无线技术的任何适合形式的网络连结,包括红外线、光学或无线电通信方式,但并不以此为限。警报信号AM可传送到用户端系统109,其是经由电子邮件、实时通信应用(instant messagingapplications)或其类似方式。网络连结107与108可为一相同网络或不同网络的一部分。网络连结107与108可为以下的一部分:一内部网络(intranet)、一企业间网络(extranet)、一随意网络(ad hoc network)、一虚拟专用网络(virtual private network(VPN)、一区域网络(local area network(LAN)、一无线区域网络(WLAN)、一无线广域网络(WWAN)、一广域网络(wide area network,WAN)、一都会区域网络(metropolitan area network,MAN)、互联网(Internet)的一部分、公众交换式电话网络(Public Switched Telephone Network,PSTN)的一部分、一移动电话网络(cellular telephone network),或其二或多个的组合。在一些实施例中,如图12所示,警报信号AM亦可直接由分析单元103提供并显示给使用者109。在一些实施例中,警报信号AM给予使用者109关于蚀刻工具5的工艺参数的状态以及自动调整程序是否按照排程进行的预先警示。
图14为依据本公开一些实施例中反馈信号FB与其各元件之间的一关系的关系示意图。请参考图11及图14,在一些实施例中,当反馈信号FB传送到用于一自动调整程序112的蚀刻工具5时,蚀刻工具5是依据最佳化参数设定OPS与模拟结果图SRM的最佳化预测结果而自动调整。举例来说,工艺参数可参考最佳化预测结果而自动调整在蚀刻工具5上的批次(run-to-run),而工艺参数包括时序参数TM、晶元尺寸参数WS、分压电容参数DCP及/或电动径向组装刻度盘参数,但并不以此为限。应当理解,反馈信号FB可通过用于自动调整程序112的蚀刻工具5而直接使用,或者是反馈信号FB可传送到一个别控制器113,以控制蚀刻工具5的自动调整程序112。
图15为依据本公开一些实施例中模拟结果图SRM的一例子的示意图。请参考图15,模拟结果图SRM是模拟在不同时间点的蚀刻热区。在一些实施例中,使用者109可观看在图形使用者接口111中的用户端系统110上的模拟结果图SRM,举例来说,其是亦可显示出最佳化参数设定OPS。再者,模拟结果图SRM可用来当作在蚀刻工具5的自动调整程序112的一参考。
应当理解,本公开中所描述的工具、子系统、方法或操作步骤中的一或多个,是可以一电脑系统所实现,而该电脑系统具有当由电脑系统的一或多个处理器执行时的可操作的指令。举例来说,分析单元106与本公开将于后描述的一控制方法600是可由如图16的一电脑系统所实现。图16为依据本公开一些实施例中一种电脑系统80的方框示意图。请参考图16,电脑系统80可具有一或多个处理器500、一网络接口(I/F)502、一存储装置506、一存储器508以及一输入/输出(I/O)装置504,其是经由一总线514或其他内连接通信机制而通信地耦接。在一些实施例中,存储器508包括一随机存取存储器(RAM)、其他动态存储装置、只读存储器(ROM),或其他静态存储装置,其是耦接到总线514,用以存储数据或指令,以通过一或多个处理器500执行,且存储器508可具有一核心(kernel)512、一使用者空间510、核心与使用者空间的一些部分以及其元件。在一些实施例中,在通过一或多个处理器500所执行的指令执行期间,存储器508亦可使用于存储504暂时变数或其他中间信息。
在一些实施例中,举例来说,存储装置506耦接到总线514,举例来说,总线514用于传递数据或指令到核心512、使用者空间510等等。在一些实施例中,操作步骤与功能是被实现成存储在存储装置506中的一程序的功能,其是可包括耦接到一或多个处理器500的一或多个电脑可读非暂态存储媒体。电脑可读非暂态存储媒体的例子包括外部/可移除或内部/内建存储或存储器单元,例如一光盘、一磁盘、一半导体存储器及其类似物的其中一或多个,但并不以此为限,而光盘是例如一DVD,磁盘是例如一硬盘,半导体存储器是例如ROM、RAM、存储卡。在一些实施例中,存储装置506的电脑可读非暂态存储媒体包括当通过一或多个处理器500所执行的可操作的指令,其是造成系统100接收来自数据库服务器DS的至少一输入信号IN,并执行一比较程序,以产生一数据信号DS;接收来自前端子系统50的数据信息DS,其中计算子系统51依据数据信号而执行一人工智能分析程序,以产生一最佳化参数设定OPS以及一模拟结果图SRM;依据最佳化参数设定OPS以及模拟结果图SRM而产生一警报信号AM;以及传送警报信号AM到半导体制造设备的一使用者109。在一些实施例中,系统100执行抽取转化装载(ETL)服务、比较至少一输入信号IN与至少一临界值THR,以及依据至少一输入信号IN是否在至少一临界值THR的一范围R内,或是至少一输入信号是否超出临界值THR,产生数据信号DS。在一些实施例中,最佳化参数设定OPS与模拟结果图SRM是依据通过萃取一输入参数设定IPS、计算在每一数据点DP的高度、深度、距离及/或遮罩值的其中一或多个、执行对于每一数据点DP的一统计模型预测以及确定一预测结果PR的数据信号DS所产生。在一些实施例中,至少一输入信号IN包括以下至少一设定:时序参数TM、晶元尺寸参数WS以及分压电容参数DCP。在一些实施例中,至少一输入信号IN包括以下至少一设定:时序参数TM、晶元尺寸参数WS以及电动径向组装刻度盘参数MRAD。在一些实施例中,系统100依据最佳化参数设定OPS与模拟结果图SRM而传送反馈信号FB,反馈信号用于半导体制造设备的一自动调整程序112。在一些实施例中,半导体制造设备包括一或多个蚀刻工具5。
在一些实施例中,输入/输出装置604包括一输入装置、一输出装置以及用于启动使用者与分析单元105互动的一组合的输入/输出装置。举例来说,一输入装置包括用于将信息与命定传送到处理器500的一键盘、小键盘(keypad)、鼠标、轨迹球(trackball)、轨迹垫(trackpad)或游标方向按键(cursor direction keys)。举例来说,一输出装置包括用于将信息传送到一使用者的一显示器、一印表机、一语音合成器(voice synthesizer)等等。在一些实施例中,本公开所描述的工具、子系统以及方法的一或多个操作步骤或功能,是通过电脑系统80的一或多个处理器500所实现,其是程序化于执行这些操作步骤与提供这些功能。存储器508、网络接口502、存储装置506、输入/输出装置504以及总线514的其中一个或多个,是可操作以用于处理器500所执行的接收指令、数据、设计规则、网络连线表(netlists)、布局(layouts)、模型(models)以及其他参数。在一些实施例中,本公开所描述的工具、子系统以及方法的一或多个操作步骤或功能,可通过特定架构硬件(例如通过一或多个特殊应用集成电路(application specific integrated circuit(ASIC))分开或取代(in lieu)处理器500。一些实施例是在一单一ASIC中合并一个以上的所述的操作步骤或功能。
图17为依据本公开一些实施例中一种半导体制造设备的控制方法600的流程示意图。在一些实施例中,方法600可通过图2到图8所示的系统100所实现,且方法600亦可通过如图16所示的电脑系统80所实现。请参考图17,半导体制造设备(例如蚀刻工具5)的控制方法600包括:提供代表半导体制造设备的至少一感测器信号SS,其是通过感测器101所实现(步骤S601);接收至少一感测器信号SS,并产生用于数据库服务器103的至少一输入信号IN,其是通过感测器接口102所实现(步骤S602);接收来自数据库服务器103的至少一输入信号IN,并执行一比较程序以产生一数据信号DS,其是通过前端子系统50所实现(步骤S603);接收来自前端子系统50的数据信号DS,并依据数据信号DS执行一人工智能分析程序,以产生最佳化参数设定OPS与模拟结果图SRM,其是通过计算子系统51所实现(步骤S604);以及产生警报信号AM与反馈信号FB,其是依据最佳化参数设定OPS与模拟结果图SRM并通过信息暨调整子系统52所实现,其中信息暨调整子系统52传送警报信号AM到半导体制造设备的使用者109(步骤S605)。
图18为依据本公开一些实施例中在一种半导体制造设备的控制方法600中的一步骤S603的流程示意图。在一些实施例中,方法600还包括比较至少一输入信号IN与至少一临界值THR,其是通过前端子系统50的抽取转化装载模块25所实现(步骤S6031),并产生数据信号DS,其是依据至少一输入信号IN是否在至少一临界值THR的一范围R内,或是至少一输入信号IN是否超出至少一临界值THR所产生(步骤S6032)。
图19为依据本公开一些实施例中在一种半导体制造设备的控制方法600中的一步骤S604的流程示意图。在一些实施例中,方法600还包括产生最佳化参数设定OPS与模拟结果图SRM,其是依据数据信号DS并通过计算子系统51所实现(步骤S6041),而数据信号DS是通过萃取输入参数设定IPS、计算在每一数据点DP的高度、深度、距离及/或遮罩值的其中一或多个、执行对于每一数据点DP的统计模型预测以及确定预测结果PR所获得(步骤S6042)。
在一些实施例中,至少一输入信号IN包括以下至少一设定:时序参数TM、晶元尺寸参数WS以及分压电容参数DCP。
在一些实施例中,至少一输入信号IN包括以下至少一设定:时序参数TM、晶元尺寸参数WS以及电动径向组装刻度盘参数MRAD。
图20为依据本公开一些实施例中在一种半导体制造设备的控制方法600中的一步骤S605的流程示意图。在一些实施例中,方法600还包括传送用于半导体制造设备的自动调整程序的反馈信号FB,其是通过信息暨调整子系统52所实现(步骤S6051);以及依据最佳化设定参数OPS与模拟结果图SRM执行半导体制造设备的自动调整程序,其中半导体制造设备包括一或多个蚀刻工具5(步骤S6052)。
据此,半导体制造设备的控制系统100与控制方法600是提供多个自动化人工智能分析子系统与程序,而所述多个自动化人工智能分析子系统与程序可预测例如蚀刻工具5的设备的最佳化工艺参数。由于分析单元106具有智慧计算子系统51与信息暨调整子系统52,所以蚀刻工具5的操作人员可监控并最佳化设备的最佳化参数,并接收关于工艺参数的状态的预先警示。再者,由于如此系统100与方法600的自动化参数最佳化与设备调整,所以可最小化人为错误、工艺时间以及不良品。
本公开的一实施例提供一种半导体制造设备的控制系统,包括一感测器、一感测器接口以及一分析单元。该感测器提供代表该半导体制造设备的至少一感测信号。该感测器接口接收该至少一感测器信号,并为一数据库服务器产生至少一输入信号。该分析单元包括一前端子系统、一计算子系统以及一信息暨调整子系统。该前端子系统接收来自该数据库服务器的该至少一输入信号,并执行一比较程序,以产生一数据信号。该计算子系统接收来自该前端子系统的该数据信号,其中该计算子系统依据该数据信号而执行一人工智能分析程序,以产生一最佳化参数设定以及一模拟结果图。该信息暨调整子系统依据该最佳化参数设定与该模拟结果图而产生一警报信号以及一反馈信号,其中该信息暨调整子系统传送该警报信号到该半导体制造设备的一使用者。
本公开的另一实施例提供一种半导体制造设备的监控系统,包括一感测器、一感测器接口、一或多个处理器以及一或多个电脑可读非暂时性存储媒体(computer-readablenon-transitory storage media)。该感测器提供代表该半导体制造设备的至少一感测器信号。该感测器接口接收该至少一感测器信号,并为一数据库服务器产生至少一输入信号。该一或多个电脑可读非暂时性存储媒体是耦接到该一或多个处理器,并包括由该一或多个处理器执行时可操作以使该系统执行以下指令:接收来自该数据库服务器的该至少一输入信号,并执行一比较程序,以产生一数据信号;接收从该前端子系统的该数据信号,其中该计算子系统依据该数据信号而执行一人工智能分析程序,以产生一最佳化参数设定以及一模拟结果图;以及产生一警报信号以及一反馈信号,其是依据该最佳化参数设定与该模拟结果图所实现,并传输该警报信息给该半导体制造设备的一使用者。
本公开的另一实施例提供一种半导体制造设备的控制方法,包括提供代表该半导体制造设备的至少一感测器信号,其是通过一感测器所实现;接收该至少一感测器信号,并为一数据库服务器产生至少一输入信号,其是通过一感测器接口所实现;接收来自该数据库服务器的该至少一输入信号,并执行一比较程序,以产生一数据信号,其是通过一前端子系统所实现;接收来自该前端子系统的该数据信号,并依据该数据信号而执行一人工智能分析程序,以产生一最佳化参数设定以及一模拟结果图,其是通过一计算子系统所实现;以及产生一警报信号以及一反馈信号,其是依据该最佳化参数设定与该模拟结果图并通过一信息暨调整子系统所实现,其中该信息暨调整子系统传送该警报信息到该半导体制造设备的一使用者。
虽然已详述本公开及其优点,然而应理解可进行各种变化、取代与替代而不脱离权利要求所定义的本公开的构思与范围。例如,可用不同的方法实施上述的许多工艺,并且以其他工艺或其组合替代上述的许多工艺。
再者,本公开的范围并不受限于说明书中所述的工艺、机械、制造、物质组成物、手段、方法与步骤的特定实施例。该技艺的技术人士可自本公开的公开内容理解可根据本公开而使用与本文所述的对应实施例具有相同功能或是达到实质上相同结果的现存或是未来发展的工艺、机械、制造、物质组成物、手段、方法、或步骤。据此,这些工艺、机械、制造、物质组成物、手段、方法、或步骤是包含于本公开的权利要求内。

Claims (20)

1.一种半导体制造设备的控制系统,包括:
一感测器,提供代表该半导体制造设备的至少一感测信号;
一感测器接口,接收该至少一感测器信号,并产生用于一数据库服务器的至少一输入信号;以及
一分析单元,包括:
一前端子系统,接收来自该数据库服务器的该至少一输入信号,并执行一比较程序,以产生一数据信号;
一计算子系统,接收来自该前端子系统的该数据信号,其中该计算子系统依据该数据信号而执行一人工智能分析程序,以产生一最佳化参数设定以及一模拟结果图;以及
一信息暨调整子系统,依据该最佳化参数设定与该模拟结果图而产生一警报信号以及一反馈信号,其中该信息暨调整子系统传送该警报信号到该半导体制造设备的一使用者。
2.如权利要求1所述的控制系统,其中该前端子系统包括一抽取转化装载模块,依据该至少一输入信号是否在至少一临界值的一范围内或者是该至少一输入信号是否超出该至少一临界值,以比较该至少一输入信号与该至少一临界值,并产生该数据信号。
3.如权利要求1所述的控制系统,其中该计算子系统依据通过萃取一输入参数设定、计算在每一数据点的高度、深度、距离及/或遮罩值的其中一或多个、执行对于每一数据点的一统计模型预测以及确定一预测结果的该数据信号,以产生该最佳化参数设定与该模拟结果图。
4.如权利要求1所述的控制系统,其中该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及电容参数。
5.如权利要求1所述的控制系统,其中该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及径向组装刻度盘参数。
6.如权利要求1所述的控制系统,其中该信息暨调整子系统传送该反馈信号,以依据该最佳化参数设定与该模拟结果图而进行该半导体制造设备的一自动调整程序。
7.如权利要求1所述的控制系统,其中该半导体制造设备包括一或多个蚀刻工具。
8.一种半导体制造设备的控制系统,包括:
一感测器,提供代表该半导体制造设备的至少一感测器信号;
一感测器接口,接收该至少一感测器信号,并产生用于一数据库服务器的至少一输入信号;
一或多个处理器;以及
一或多个电脑可读非暂时性存储媒体,耦接到该一或多个处理器,并包括由该一或多个处理器执行时可操作以使该系统执行以下指令:
接收来自该数据库服务器的该至少一输入信号,并执行一比较程序,以产生一数据信号;
接收从前端子系统的该数据信号,其中一计算子系统依据该数据信号而执行一人工智能分析程序,以产生一最佳化参数设定以及一模拟结果图;以及
产生一警报信号以及一反馈信号,其是依据该最佳化参数设定与该模拟结果图所实现,并传输该警报信号给该半导体制造设备的一使用者。
9.如权利要求8所述的控制系统,其中该一或多个电脑可读非暂时性存储媒体还包括由该一或多个处理器执行时可操作以使该系统执行以下指令:执行抽取转化装载服务、比较该至少一输入信号与至少一临界值,以及依据该至少一输入信号是否在该至少一临界值的一范围内,或者是该至少一输入信号是否超过该临界值而产生该数据信号。
10.如权利要求8所述的控制系统,其中该最佳化参数设定与该模拟结果图是依据通过萃取一输入参数设定、计算在每一数据点的高度、深度、距离及/或遮罩值的其中一或多个、执行对于每一数据点的一统计模型预测以及确定一预测结果的该数据信号所产生。
11.如权利要求8所述的控制系统,其中该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及电容参数。
12.如权利要求8所述的控制系统,其中该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及径向组装刻度盘参数。
13.如权利要求8所述的控制系统,其中该一或多个电脑可读非暂时性存储媒体还包括由该一或多个处理器执行时可操作以使该系统执行以下指令:传送该反馈信号,以依据该最佳化参数设定与该模拟结果图而进行该半导体制造设备的一自动调整程序。
14.如权利要求8所述的控制系统,其中该半导体制造设备包括一或多个蚀刻工具。
15.一种半导体制造设备的控制方法,包括:
提供代表该半导体制造设备的至少一感测器信号,其是通过一感测器所实现;
接收该至少一感测器信号,并产生用于一数据库服务器的至少一输入信号,其是通过一感测器接口所实现;
接收来自该数据库服务器的该至少一输入信号,并执行一比较程序,以产生一数据信号,其是通过一前端子系统所实现;
接收来自该前端子系统的该数据信号,并依据该数据信号而执行一人工智能分析程序,以产生一最佳化参数设定以及一模拟结果图,其是通过一计算子系统所实现;以及
产生一警报信号以及一反馈信号,其是依据该最佳化参数设定与该模拟结果图并通过一信息暨调整子系统所实现,其中该信息暨调整子系统传送该警报信号到该半导体制造设备的一使用者。
16.如权利要求15所述的控制方法,还包括:比较该至少一输入信号与至少一临界值,并产生该数据信号,其是依据该至少一输入信号是否在该至少一临界值的一范围内,或者该至少一输入信号是否超出该至少一临界值,并通过该前端子系统的一一抽取转化装载模块所实现。
17.如权利要求15所述的控制方法,还包括:产生该最佳化参数设定与该模拟结果图,其是依据通过萃取一输入参数设定、计算在每一数据点的高度、深度、距离及/或遮罩值的其中一或多个、执行对于每一数据点的一统计模型预测以及确定一预测结果的该数据信号,并通过该计算子系统所实现。
18.如权利要求15所述的控制方法,其中该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及电容参数。
19.如权利要求15所述的控制方法,其中该至少一输入信号包括以下其中至少一设定:时序、晶元尺寸以及径向组装刻度盘参数。
20.如权利要求15所述的控制方法,还包括:传送该反馈信号,以进行该半导体制造设备的一自动调整程序,其是依据该最佳化参数设定与该模拟结果图并通过该信息暨调整子系统所实现,其中该半导体制造设备包括一或多个蚀刻工具。
CN202110274963.0A 2020-03-20 2021-03-15 半导体制造设备的控制系统及方法 Active CN113496916B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/825,889 2020-03-20
US16/825,889 US11302545B2 (en) 2020-03-20 2020-03-20 System and method for controlling semiconductor manufacturing equipment

Publications (2)

Publication Number Publication Date
CN113496916A true CN113496916A (zh) 2021-10-12
CN113496916B CN113496916B (zh) 2024-01-02

Family

ID=77748513

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110274963.0A Active CN113496916B (zh) 2020-03-20 2021-03-15 半导体制造设备的控制系统及方法

Country Status (3)

Country Link
US (1) US11302545B2 (zh)
CN (1) CN113496916B (zh)
TW (1) TWI770799B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115469616B (zh) * 2022-09-03 2023-07-28 宁波力劲科技有限公司 一种压铸工艺参数辅助方法、系统、存储介质及智能终端

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
CN1455437A (zh) * 2002-04-30 2003-11-12 旺宏电子股份有限公司 具有配方分配管理数据库的半导体晶圆制造执行系统
CN1682165A (zh) * 2002-09-30 2005-10-12 东京毅力科创株式会社 用于监视和控制半导体生产过程的方法和装置
US20070219738A1 (en) * 2006-03-15 2007-09-20 Applied Materials, Inc. Tool health information monitoring and tool performance analysis in semiconductor processing
US20140324208A1 (en) * 2013-04-29 2014-10-30 GlobalFoundries, Inc. System and method for monitoring wafer handling and a wafer handling machine
US20150369640A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. System and method for monitoring sensor linearity as part of a production process
US20170078238A1 (en) * 2015-09-11 2017-03-16 Lam Research Corporation Social Network Service for Semiconductor Manufacturing Equipment and Users
TW201810485A (zh) * 2012-11-09 2018-03-16 東京威力科創股份有限公司 用於半導體製造的自動化工具參數影響識別系統的方法和裝置
US20190171181A1 (en) * 2017-12-01 2019-06-06 Applied Materials, Inc. Semiconductor process control method

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1167853A (ja) * 1997-08-26 1999-03-09 Mitsubishi Electric Corp ウェーハマップ解析補助システムおよびウェーハマップ解析方法
US6668360B1 (en) * 2001-01-08 2003-12-23 Taiwan Semiconductor Manufacturing Company Automatic integrated circuit design kit qualification service provided through the internet
US6658640B2 (en) * 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
CN100468256C (zh) 2003-02-18 2009-03-11 东京毅力科创株式会社 加工系统的自动配置方法
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus
JP4778778B2 (ja) * 2005-11-04 2011-09-21 株式会社日立ハイテクノロジーズ 半導体デバイスのモニタリング方法およびモニタリング装置
TWI416429B (zh) 2008-02-22 2013-11-21 Murata Machinery Ltd 半導體製造設施可視化系統
US20110246141A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited Method of optical metrology optimization using ray tracing
JP5986817B2 (ja) * 2012-06-15 2016-09-06 株式会社日立ハイテクノロジーズ オーバーレイ誤差測定装置、及びコンピュータープログラム
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
US9954112B2 (en) 2015-01-26 2018-04-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
KR102395198B1 (ko) * 2015-09-22 2022-05-06 삼성전자주식회사 마스크 패턴의 보정 방법 및 이를 이용하는 레티클의 제조 방법
US10365639B2 (en) 2016-01-06 2019-07-30 Kla-Tencor Corporation Feature selection and automated process window monitoring through outlier detection
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
TWI632441B (zh) 2017-01-20 2018-08-11 財團法人工業技術研究院 機台的預診斷方法及預診斷裝置
US10546085B2 (en) * 2017-04-12 2020-01-28 Anchor Semiconductor Inc. Pattern centric process control
US11450541B2 (en) * 2017-09-26 2022-09-20 Nova Ltd Metrology method and system
KR102481755B1 (ko) * 2018-02-23 2022-12-29 에이에스엠엘 네델란즈 비.브이. 가이드 패터닝 디바이스 검사
US20190287003A1 (en) 2018-03-14 2019-09-19 Scaled Inference, Inc. Methods and systems for integrating speculative decision-making in cross-platform real-time decision-making systems
US10777470B2 (en) * 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
US20200096876A1 (en) * 2018-09-25 2020-03-26 Asml Us, Llc F/K/A Asml Us, Inc. Dose Map Optimization for Mask Making
US11163278B2 (en) * 2018-11-21 2021-11-02 Johnson Controls Tyco IP Holdings LLP Method for optimal selection of deadbands in on/off controllers
US11366437B2 (en) * 2019-05-17 2022-06-21 Samarth Mahapatra System and method for optimal food cooking or heating operations
US11610076B2 (en) * 2019-08-07 2023-03-21 Applied Materials, Inc. Automatic and adaptive fault detection and classification limits
US10957031B1 (en) * 2019-09-06 2021-03-23 Accenture Global Solutions Limited Intelligent defect detection from image data
US11269003B2 (en) * 2020-02-11 2022-03-08 Nanya Technology Corporation System and method for monitoring semiconductor manufacturing equipment via analysis unit

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
CN1455437A (zh) * 2002-04-30 2003-11-12 旺宏电子股份有限公司 具有配方分配管理数据库的半导体晶圆制造执行系统
CN1682165A (zh) * 2002-09-30 2005-10-12 东京毅力科创株式会社 用于监视和控制半导体生产过程的方法和装置
US20070219738A1 (en) * 2006-03-15 2007-09-20 Applied Materials, Inc. Tool health information monitoring and tool performance analysis in semiconductor processing
TW201810485A (zh) * 2012-11-09 2018-03-16 東京威力科創股份有限公司 用於半導體製造的自動化工具參數影響識別系統的方法和裝置
US20140324208A1 (en) * 2013-04-29 2014-10-30 GlobalFoundries, Inc. System and method for monitoring wafer handling and a wafer handling machine
US20150369640A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. System and method for monitoring sensor linearity as part of a production process
US20170078238A1 (en) * 2015-09-11 2017-03-16 Lam Research Corporation Social Network Service for Semiconductor Manufacturing Equipment and Users
US20190171181A1 (en) * 2017-12-01 2019-06-06 Applied Materials, Inc. Semiconductor process control method

Also Published As

Publication number Publication date
TW202136943A (zh) 2021-10-01
US11302545B2 (en) 2022-04-12
US20210296148A1 (en) 2021-09-23
TWI770799B (zh) 2022-07-11
CN113496916B (zh) 2024-01-02

Similar Documents

Publication Publication Date Title
CN110832400B (zh) 通过边缘位置误差预测设计布局图案邻近校正
CN107526864B (zh) 经边缘放置误差预测的光致抗蚀剂设计布局图案邻近校正
KR102648517B1 (ko) 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
US11704463B2 (en) Method of etch model calibration using optical scatterometry
CN103715114B (zh) 用于可适性自对准双图案成型的基于序列内测量的过程调谐
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
JP4478574B2 (ja) 製品設計および歩留りのフィードバックシステムに基づいた総括的な統合リソグラフィプロセス制御システム
CN101707189B (zh) 将多层/多输入/多输出(mlmimo)模型用于金属栅结构
WO2015066232A1 (en) Process-induced distortion prediction and feedforward and feedback correction of overlay errors
TWI729334B (zh) 用於判定器件之控制方案的方法、電腦程式和系統及用於判定多個器件處理之基板的方法
KR20200139800A (ko) Cd-sem을 사용한 프로세스 시뮬레이션 모델 캘리브레이션
CN104730858A (zh) 采用反馈控制改善晶圆图案化的均匀性
CN113496916B (zh) 半导体制造设备的控制系统及方法
JP2020519932A (ja) 製品ユニットの製造プロセスのシーケンスの最適化
Khakifirooz et al. MODELLING AND DECISION SUPPORT SYSTEM FOR INTELLIGENT MANUFACTURING: AN EMPIRICAL STUDY FOR FEEDFORWARD-FEEDBACK LEARNING-BASED RUN-TO-RUN CONTROLLER FOR SEMICONDUCTOR DRY-ETCHING PROCESS.
CN113711129B (zh) 用于产生用于器件制造过程的采样方案的方法和计算机程序
KR20190086555A (ko) 지문에 대한 기여도를 결정하기 위한 방법
EP3734364A1 (en) Method for generating a control scheme and device manufacturing method
Moriya et al. Optimization of uniformity in plasma ashing process using genetic programming

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant