CN104730858A - 采用反馈控制改善晶圆图案化的均匀性 - Google Patents

采用反馈控制改善晶圆图案化的均匀性 Download PDF

Info

Publication number
CN104730858A
CN104730858A CN201410100608.1A CN201410100608A CN104730858A CN 104730858 A CN104730858 A CN 104730858A CN 201410100608 A CN201410100608 A CN 201410100608A CN 104730858 A CN104730858 A CN 104730858A
Authority
CN
China
Prior art keywords
wafer
patterning
doma
critical dimension
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410100608.1A
Other languages
English (en)
Other versions
CN104730858B (zh
Inventor
吴忠锡
廖汉文
林志育
崔成章
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104730858A publication Critical patent/CN104730858A/zh
Application granted granted Critical
Publication of CN104730858B publication Critical patent/CN104730858B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

一种对晶圆进行图案化的方法包括:对晶圆执行第一图案化;以及在执行第一图案化之后,计算模拟剂量分布(DoMa)图,其预测晶圆的临界尺寸由于对晶圆执行第二图案化而产生的变化。该方法还包括对晶圆执行第二图案化。执行第二图案化包括根据模拟DoMa图与晶圆的期望临界尺寸之间的差值调整第二图案化的一个或多个蚀刻参数。本发明公开了采用反馈控制改善晶圆图案化的均匀性。

Description

采用反馈控制改善晶圆图案化的均匀性
技术领域
本发明总的来说涉及晶圆图案化,并且在特定实施例中,涉及采用反馈控制改善晶圆图案化的均匀性。
背景技术
在集成电路的制造中,采用诸如光刻和蚀刻的图案化技术在晶圆的器件管芯上形成多种部件,诸如多晶硅线、器件(例如,晶体管、二极管等)、互连结构、接触焊盘等。随着集成电路的设计特征变得日益复杂(例如,具有更小的临界尺寸和/或更复杂的形状),可采用双图案化工艺来形成单个部件。然而,由于工艺限制,器件管芯/晶圆内的各个图案化部件的临界尺寸可能并不均匀,这会降低器件管芯/晶圆的性能。
为提高临界尺寸均匀性(CDU)和晶圆内均匀性(WiW),可计算得出晶圆的剂量分布(does mapper)(DoMa)图来测量图案化部件的实际临界尺寸。然后,可使用这些DoMa图来调整图案化工艺的光刻条件,从而改善CDU和WiW均匀性。然而,传统的DoMa图及其应用会受到各种限制。
发明内容
根据本发明的一个方面,提供了一种用于对晶圆进行图案化的方法,包括:对第一晶圆执行第一图案化;在执行第一图案化之后,计算模拟剂量分布(DoMa)图,模拟DoMa图预测第一晶圆的临界尺寸由于对第一晶圆执行第二图案化而发生的变化;以及对第一晶圆执行第二图案化,其中,执行第二图案化包括根据模拟DoMa图与第一晶圆的期望临界尺寸之间的差值而调整第二图案化的一个或多个蚀刻参数。
优选地,计算模拟DoMa图包括:通过执行第一图案化来计算测量第一晶圆的临界尺寸的第一DoMa图并将第一DoMa图添加至偏差图。
优选地,该方法还包括:通过获取第二DoMa图与第三DoMa图之间的差值来计算偏差图,其中,第二DoMa图通过对第二晶圆执行第一图案化来测量第二晶圆的临界尺寸,并且第三DoMa图通过对第二晶圆执行第二图案化来测量第二晶圆的临界尺寸。
优选地,一个或多个蚀刻参数包括在第二图案化期间所采用的静电吸盘(ESC)的温度、施加至ESC的偏置功率、进入第二图案化期间所采用的蚀刻室的气流速度、蚀刻室中的气流分布或它们的组合。
优选地,该方法还包括:在执行第二图案化之前,根据模拟DoMa图与第一晶圆的期望临界尺寸之间的差值对第一晶圆的第一图案化进行微调。
优选地,执行第二图案化包括:执行光刻工艺,并根据模拟DoMa图与第一晶圆的期望临界尺寸之间的差值来调整光刻工艺的曝光剂量。
根据本发明的另一方面,提供了一种用于对晶圆进行图案化的方法,包括:对第一晶圆执行第一图案化;计算第一剂量分布(DoMa)图,第一DoMa图测量第一晶圆由于第一图案化而产生的临界尺寸;采用第一DoMa图和偏差图计算模拟DoMa图,其中,基于对第二晶圆执行第一图案化和执行第二图案化来计算偏差图;以及基于模拟DoMa图与第一晶圆的期望临界尺寸之间的差值对第一晶圆的第一图案化进行微调。
优选地,该方法还包括:在对第一图案化进行微调之后,对第一晶圆执行第二图案化。
优选地,计算第一DoMa图包括使用临界尺寸扫描电子显微镜。
优选地,第二图案化包括蚀刻工艺,并且方法还包括基于模拟DoMa图与第一晶圆的期望临界尺寸之间的差值而调整蚀刻工艺。
优选地,第二图案化包括光刻工艺,并且方法还包括基于模拟DoMa图与第一晶圆的期望临界尺寸之间的差值而调整光刻工艺的曝光剂量。
优选地,该方法还包括:计算测量第二晶圆由于第二图案化而产生的临界尺寸的第二DoMa图,并采用第二DoMa图来更新偏差图。
优选地,该方法还包括:计算测量第一晶圆由于微调而产生的临界尺寸的第三DoMa图,其中,更新偏差图包括利用第二DoMa图与第三DoMa图之间的差值对偏差图取平均值。
优选地,更新偏差图包括采用第二DoMa图与第一晶圆的期望临界尺寸之间的差值。
优选地,该方法还包括:对第二晶圆执行第一图案化;计算测量第二晶圆由于第一图案化而产生的临界尺寸的第四DoMa图;对第二晶圆执行第二图案化;计算测量第二晶圆由于第二图案化而产生的临界尺寸的第五DoMa图;以及通过获取第四DoMa图与第五DoMa图之间的差值计算偏差图。
优选地,微调第一图案化包括在第一晶圆的一个或多个部件上沉积涂层。
优选地,微调第一图案化包括移除第一晶圆的一个或多个部件的部分。
根据本发明的又一方面,提供了一种用于对晶圆进行图案化的方法,包括:在第一晶圆上图案化第一图案;计算测量第一晶圆上的第一图案的临界尺寸的第一剂量分布(DoMa)图;在第一晶圆上图案化第二图案;计算测量第一晶圆上的第二图案的临界尺寸的第二DoMa图;通过获取第一DoMa图与第二DoMa图之间的差值计算偏差图;在第二晶圆上图案化为第一图案;计算测量第二晶圆上的第一图案的临界尺寸的第三DoMa图;通过将第三DoMa图与偏差图相加来计算模拟DoMa图;将模拟DoMa图与期望DoMa图进行比较,其中,期望DoMa图包括第二晶圆的期望临界尺寸;调整第二晶圆的一个或多个图案化工艺,其中,调整第二晶圆的一个或多个图案化工艺包括以下步骤中的至少一种:微调第二晶圆上的第一图案和调整用于在第二晶圆上图案化第二图案的蚀刻参数;以及在第二晶圆上图案化第二图案。
优选地,调整一个或多个图案化工艺还包括:调整用于在第二晶圆上图案化第二图案的光刻参数。
优选地,该方法还包括:计算测量第二晶圆上的第二图案的临界尺寸的第四DoMa图;以及采用第四DoMa图更新偏差图。
附图说明
为了更好地理解本发明及其优点,现在将结合附图所进行的以下描述作为参考,其中:
图1至图3是根据多个实施例的第一晶圆的第一图案化的立体图;
图4是在根据多个实施例的第一图案化之后计算第一晶圆的剂量分布(DoMa)图的工艺流程;
图5至图6是根据多个实施例的第一晶圆的第二图案化的立体图;
图7至图8为示出在根据多个实施例的第二图案化之后计算第一晶圆的偏差图(bias map)的工艺流程;
图9是根据多个实施例的第二晶圆的第一图案化的立体图;
图10是在根据多个实施例的第一图案化之后计算第二晶圆的DoMa图的工艺流程;
图11是在根据多个实施例的第一图案化之后计算第二晶圆的模拟DoMa图的工艺流程;
图12A至图12B示出了根据多个实施例的第二晶圆的微调部件;
图13是根据多个实施例进行微调之后计算第二晶圆的DoMa图的工艺流程;
图14是根据多个实施例在第二晶圆上方形成三层光刻胶的立体图;
图15A和图15B示出了根据多个实施例可以用于蚀刻第二晶圆的蚀刻室的一部分;
图16是在根据多个实施例进行第二图案化之后的第二晶圆的立体图;
图17是在根据多个实施例的进行第二图案化之后计算第二晶圆的DoMa图的工艺流程;
图18是根据多个实施例的计算偏差图的流程图;以及
图19是根据多个实施例的采用反馈控制图案化晶圆的工艺流程。
除非另作说明,否则不同附图中的相应参考标号和符号通常表示相应的零件。描绘附图以清晰地示出实施例的相关方面且不一定按比例绘制。
具体实施方式
下面,详细讨论本发明的实施例的制造和使用。然而,应该理解,这些实施例提供了许多可以在各种具体环境中实现的可应用的概念。所讨论的实施例仅是说明性的,而不用于限制本公开的范围。
根据实施例提供了采用反馈控制改善晶圆图案化的均匀性。示出了实施例的工艺流程的中间阶段。随后讨论这些实施例的变型例。
参照具体环境对这些实施例进行描述,即采用双图案化工艺对晶圆中的多晶硅栅极层进行图案化。然而,还可应用其它实施例以采用多图案化工艺对晶圆中的其它部件进行图案化。
图1至图8示出了对剂量分布(DoMa)偏差图206(参见图8)的计算,以确定第一晶圆100中的部件的临界尺寸在第一图案化和第二图案化之间的变化。图1示出了具有第一器件层102的第一晶圆100的一部分。在该实施例中,第一器件层102可为设置在衬底(未示出)上方的多晶硅层,其用于在第一晶圆100中形成一个或多个多晶硅栅极。衬底可为体硅衬底,然而还可以使用其他半导体材料,包括III族元素、IV族元素和V族元素。可选地,衬底可为绝缘体上的硅(SOI)衬底。
在另一实施例中,第一器件层102可为金属化层,诸如用于形成互连结构(例如,金属线和/或通孔)的层间介电层(ILD)或金属间介电层(IMD)。在这些实施例中,例如,第一器件层102可由k值小于约4.0或甚至小于约2.8的低k材料构成。在其它实施例中,第一器件层102可为第一晶圆100中的任意层,其可采用光刻工艺和蚀刻工艺进行图案化。
尽管图1仅示出了一个第一器件层102,但第一晶圆100可包括多个器件层。此外,第一器件层102可包括缓冲层(例如,氧化物界面层,未示出)、蚀刻阻止层(例如,氮化硅层、碳化硅层等)等。第一硬掩模104可在第一器件层102上方形成以用作图案化掩模。第一硬掩模104可包括氧化物、氮氧化硅(SiON)、氮化硅(Si3N4)、氮化钛(TiN)等。
图2示出了在第一硬掩模104上方形成灰化可移除介电层(ARD)106(例如,包括无定形碳等)、底部抗反射涂层(BARC)108和光刻胶110。形成BARC108和ARD106以辅助通过光刻胶110图案化第一硬掩模104。例如,BARC108有助于在光刻期间过滤下方层的反射,且ARD106可在光刻期间用以改善临界尺寸均匀性、降低线边缘粗糙度及降低生成缺陷的风险。例如,用于对光刻胶110进行图案化的光刻工艺可包括曝光光刻胶110的一部分(例如,采用紫外线),并根据采用正性抗蚀剂还是负性抗蚀剂而移除光刻胶110的曝光部分或未曝光部分。
接下来,如图3所示,将光刻胶110用作图案化掩模来蚀刻第一硬掩模104。然后,例如可使用灰化工艺和湿式清洁工艺来移除光刻胶110、BARC108和第一ARD106。
图4示出随后由临界尺寸扫描模块200对第一晶圆100进行扫描以生成第一DoMa图202。临界尺寸扫描模块200可使用临界尺寸扫描电子显微镜(CDSEM)在整个第一晶圆100上测量各个器件管芯上的测试位置的临界尺寸(例如,间距、图案化部件的宽度、图案化部件之间的距离等)。为生成DoMa图(诸如第一DoMa图202)而测量的测试位置的数目可能非常巨大。例如,在各个实施例中,测试位置的数目可为500或更多。在第一图案化工艺(在图3中示出)之后,第一DoMa图202提供第一晶圆100的不同位置中的部件(例如,第一硬掩模104)的临界尺寸测量值。此外,第一DoMa图202可提供与临界尺寸均匀性(CDU)相关的信息,诸如平均值、标准差、值域等。尽管第一DoMa图202包括特定测量值,但本领域普通技术人员应当理解,第一DoMa图202(和本文所述的任何其它DoMa图)的实际测量值可以变化。
在图5中,在第一硬掩模104和第一器件层102上方形成第一三层光刻胶112。第一三层光刻胶112包括顶部光刻胶层118、中间层116和底层114。中间层116可包括抗反射材料(例如,抗反射涂层),以在顶部光刻胶层118的处理期间辅助曝光和聚焦。底层114可包括诸如灰化硬掩模(例如,无定形碳薄膜或非晶硅薄膜)、多晶硅的硬掩模材料或可被图案化并可在无需移除第一硬掩模104的情况下选择性被移除的任何其它材料。
例如,顶部光刻胶层118可通过曝光顶部光刻胶层118并移除曝光/未曝光部分而进行图案化。相较于第一硬掩模104的第一图案化(图3中所示),顶部光刻胶层118的图案化可沿不同方向(例如,与第一图案化的方向正交)形成图案。
图6示出采用具有掩模的第一三层光刻胶112对第一硬掩模104和第一器件层102进行图案化。顶部光刻胶层118的图案例如可通过蚀刻转印至中间层116、底层114和第一硬掩模层104。例如可使用灰化工艺和湿式清洁工艺来移除第一三层光刻胶112。随后,可采用蚀刻工艺以及使用第一硬掩模层104作为图案化掩模对第一器件层102进行图案化。蚀刻工艺可为各向异性蚀刻工艺,其还可使第一硬掩模104变薄。
如图1至图6所示,采用双图案化工艺对第一器件层102进行图案化。可选地,在图案不太复杂(例如,单向延伸的图案)的实施例中,可省略第一三层光刻胶112。在这些实施例中,双图案化工艺可包括对第一硬掩模104进行图案化(有时也称作预图案化)并将第一硬掩模104用作图案化掩模来蚀刻第一器件层102。
如图7所示,由此生成的第一晶圆100通过临界尺寸扫描模块200进行扫描来生成第二DoMa图204。临界尺寸扫描模块200可使用CDSEM来扫描并测量图案化第一硬掩模104和第一器件层102的临界尺寸。在图6所示的第二图案化之后,第二DoMa图204提供用于第一晶圆的不同位置中的部件(例如,第一硬掩模104)的临界尺寸测量值。通常,第二DoMa图204可测量与第一DoMa图202相同的图案,但第二DoMa图204是在第二光刻工艺之后测量图案。例如,第一和第二DoMa图都可测量采用第一光刻工艺(例如,图3所示)所形成的第一硬掩模104的图案。此外,第二DoMa图204可提供用于测量临界尺寸均匀性(CDU)的信息,诸如平均值、标准差、值域等。
图8根据多个实施例示出了DoMa偏差图206的计算。通过获取第一DoMa图202与第二DoMa图204之间的差值(例如,通过采取点与点/位置与位置的差值)来计算得出DoMa偏差图206。因此,DoMa偏差图206提供了由于第二图案化工艺而导致的第一晶圆100中部件的临界尺寸改变的测量值。DoMa偏差图206可在随后的图案化工艺中使用,从而根据第一图案化来预测成品晶圆的临界尺寸。在一些实施例中,可通过形成第二DoMa图204的模拟DoMa图(例如,通过将DoMa偏差图206与第一DoMa图202加和)并检测模拟DoMa图与第二DoMa图204的实际值之间的偏差和/或相关值(例如,确定值的系数)来检测DoMa偏差图206的准确性。
图9至图17示出采用DoMa偏差图206作为反馈来对第二晶圆300进行图案化,用于改善CDU、晶圆内(WiW)均匀性、晶圆间(W2W)均匀性、批次间(L2L,有时也被称作实时批次间R2R)均匀性、器件性能均匀性(例如,饱和电流均匀性)等。图9示出了第二晶圆300的立体图。第二晶圆300可与第一晶圆100大致类似,且相似参考标号表示相同部件。例如,第二晶圆300包括第二器件层302和第二硬掩模304,其可分别基本类似于第一器件层102和第一硬掩模104。如图9所示,第二硬掩模304可被图案化(例如,采用光刻和蚀刻)以具有与第一硬掩模104的第一图案相同的图案。
图10示出通过采用临界尺寸扫描模块200测量整个第二晶圆300的临界尺寸来计算得出第三DoMa图208。临界尺寸扫描模块200可采用CDSEM来扫描并测量整个第二晶圆300上的测试位置的临界尺寸。这些测试位置可位于与第一晶圆100相同的位置处。因此,第三DoMa图208测量与第一DoMa图202相似的尺寸和位置。
在图11中,可通过将第三DoMa图208与DoMa偏差图206加和来计算得出模拟DoMa图210。例如,对于第三DoMa图208和DoMa偏差图206上的所有点(例如,晶圆内和/或场内)逐点将第三DoMa图208和第二DoMa偏差图206相加。可选地,对于整个晶圆上的被测量的点的子集(例如,任意百分比)逐点将第三DoMa图208和DoMa偏差图206相加。在第二图案化工艺之后,根据第一图案化工艺的实际临界尺寸和对先前晶圆的图案化的反馈(例如,DoMa偏差图206),模拟DoMa图210预测第二晶圆300中的部件的临界尺寸。然后可比较模拟DoMa图210中的临界尺寸与该晶圆的目标临界尺寸(例如,由晶圆设计所设定的临界尺寸)来对第二晶圆300的第一图案化进行微调和/或选择第二晶圆300的第二图案化工艺的工艺条件。
例如,图12A示出了模拟DoMa图210指示第二晶圆300中的临界尺寸CD1过小的实施例。在这些实施例中,可将涂层320添加到第二晶圆300的部件(例如,第二硬掩模304)中,从而将相关临界尺寸CD1增大至更为理想的临界尺寸CD2。涂层320可采用任意合适的沉积工艺形成,例如,使用诸如SiCl4、CH4、SiO2、SiHx、聚合物气体等的工艺气体以形成第二硬掩模304的涂层320侧壁。还可使用其它沉积方法。
图12B示出了模拟DoMa图210指示第二晶圆300中的临界尺寸CD1过大的实施例。在这些实施例中,可对第二晶圆300中的第二硬掩模306应用微调蚀刻工艺,从而将临界尺寸CD1减小至更为理想的临界尺寸CD3。第二晶圆300中部件的增大和减小可单独完成或共同完成。例如,可增大第二晶圆300的一些部分中的多个部件,而减小第二晶圆300的其它部分中的其它部件,从而获得期望的临界尺寸。尽管图12A和图12B示出改变第二硬掩模304的临界尺寸,但多个实施例可包括微调第二晶圆300中的其它部件的临界尺寸,诸如第二硬掩模304之间的间距等。此外,可对整个第二晶圆300或第二晶圆300的一部分的临界尺寸进行微调。
在图13中,在微调之后,可使用临界尺寸扫描模块200测量整个第二晶圆300的临界尺寸(例如,通过使用CDSEM来扫描并测量整个第二晶圆300上的测试位置的临界尺寸)来选择性计算得出第四DoMa图212。在基于模拟DoMa图210对第一图案化微调之后,第四DoMa图212可提供第二晶圆300的临界尺寸信息。在随后的工艺步骤中,第四DoMa图212可用于更新DoMa偏差图206来对后续的晶圆进行图案化。
图14示出在第二硬掩模304和第二器件层302上方形成第二三层光刻胶312。第二三层光刻胶312可与第一三层光刻胶112大致类似并可包括顶部光刻胶层、中间层和底层。在各个实施例中,模拟DoMa图210可用于调整光刻条件来对第二三层光刻胶312进行图案化。通常,在光刻期间,图案的临界尺寸与曝光剂量的强度线性相关。因此,通过调整用以对第二三层光刻胶312进行图案化的曝光剂量,可对图案化光刻胶的临界尺寸进行调整以补偿模拟DoMa图210与第二晶圆300的期望临界尺寸之间的差值。
图15示出了蚀刻室400,其将第二三层光刻胶312用作图案化掩模来蚀刻第二硬掩模304和第二器件层302。蚀刻室400包括射频(RF)功率发生器402、功率控制器404、RF线圈406、可调气体喷射器408、顶部电极410、可调静电吸盘(ESC)412、温控模块414和ESC功率发生器416。功率控制器404有助于稳定并控制由FR功率发生器402向RF线圈406提供的功率等级。可选地,可采用不同功率源替换RF功率发生器402,诸如微波功率源或任何适用的等离子体或自由基生成的功率源。RF功率发生器402、RF线圈406、顶部电极410和可调ESC412生成RF电磁场,同时可调气体喷射器408向蚀刻室400供给工艺气体(例如,碳氟化物)。第二晶圆300可放置在可调静电吸盘(ESC)412上。RF电磁场将顶部电极410与可调ESC412之间的工艺气体转换成等离子,用于对第二晶圆300进行蚀刻。还可采用蚀刻室400的其它结构。
模拟DoMa图210与第二晶圆300的期望临界尺寸之间的差值可用以调整蚀刻室400的工艺条件。例如,可调气体喷射器408可控制进入蚀刻室400的气流速度及气流分布位置。通常,气流速度的增加会增加蚀刻时间及材料移除量。在蚀刻期间,可调气体喷射器408可控制第二晶圆300的不同区域上方的气流的速度,以获得期望的临界尺寸。例如,模拟DoMa图可指示第二晶圆300的某区域中的临界尺寸过大,可调气体喷射器408可相应地改变到达该区域的气流。
作为另一实例,可基于模拟DoMa图210与期望临界尺寸之间的差值调整偏置功率(例如,使用ESC功率发生器416)和/或温度(例如,使用温控模块414)。通常,较高偏置功率和较高温度会增加蚀刻速率和蚀刻量,而较低偏置功率和较低温度会降低蚀刻速率和蚀刻量。ESC功率发生器416可用于调整蚀刻期间施加于可调ESC412的偏置功率,以获得期望的临界尺寸。例如,通过将可调ESC412划分为不同区域(例如,图15B的内部区域412D、中间区域412C、过渡区域412B和外部区域412A)并加热和/或冷却不同区域,温控模块414可调整可调ESC412的温度,以增加/降低蚀刻速率和蚀刻量及调整第二晶圆300的临界尺寸。尽管图15B将可调ESC412示出为具有四个区域,但在其它实施例中,可调ESC412可具有任意数目的区域。
因此,通过在蚀刻期间调整蚀刻室参数(例如,气流速率、气流分布、ESC412的温度和/或ESC412的偏置功率),可形成不同的临界尺寸。这些蚀刻室参数可根据模拟DoMa图210与期望临界尺寸之间的差值来进行调整,从而改善CDU、WiW均匀性、L2L均匀性等。
图16示出了在第二图案化工艺之后采用第二三层光刻胶312作为图案化掩模并采用调整后的蚀刻参数生成的第二晶圆300的立体图。然后可移除第二三层光刻胶。由于采用模拟DoMa图210来微调第二晶圆300的第一图案化(如图12A和图12B所示)、调节第二图案化工艺的光刻条件(例如,曝光剂量)(如图14所示)和/或调整第二图案化的蚀刻参数(例如,控制气流速度、气流分布、温度和/或偏置功率)(如图15所示),由此生成的第二晶圆300可具有改善的CDU、WiW均匀性等。
随后,如图17所示,可通过临界尺寸扫描模块200来计算得出第五DoMa图214,从而包括图案化第二晶圆300的临界尺寸。第五DoMa图214可用于更新偏差图206以在随后的图案化过程中改善模拟DoMa图的准确性。例如,第五DoMa图214可与期望的DoMa图(例如,具有基于晶圆100和300的设计的期望临界尺寸)进行比较。例如,使用第五DoMa图214与期望的DoMa图之间的任何差值,以通过所有点或点的子集(例如,位于点的0%与100%之间)逐点将计算的差值添加到偏差图206中来更新偏差图206。在另一实施例中,计算得出第五DoMa图214与第四DoMa图212(请参照图13)之间的差值以形成第二偏差图。可采用第二偏差图来更新偏差图206(例如,该偏差图可为平均值)来生成更稳定的模拟DoMa图。
因此,多个实施例计算得出第一晶圆的双图案化工艺的偏差图。该偏差图可用以在图案化期间改善其它随后晶圆的临界尺寸。例如,该偏差图可用以在第一图案化之后计算每个晶圆的模拟DoMa图。模拟DoMa图可与期望的临界尺寸进行比较以用于微调第一图案化、第二图案化期间调节光刻条件和/或调节蚀刻条件。因此,通过反馈,可改善CDU、WiW均匀性、L2L均匀性等。在每个晶圆被图案化之后,均可计算得出DoMa图来更新偏差图。
此外,尽管临界尺寸扫描模块200仅描述为用以生成DoMa图,但在多个实施例中,临界尺寸扫描模块200均可用以在任何图案化步骤之后(例如,光刻和/或蚀刻步骤之后)测量样本临界尺寸用于反馈控制。例如,在图案化步骤之后,CD扫描模块可采用CDSEM和/或光谱测量来测量晶圆中的约12个测试位置。然后,这些选择的CD测量值可反馈给图案化设备(例如,光刻设备和/或蚀刻室400)来调节后续晶圆的图案化工艺条件。
图18根据多个实施例示出了用于计算得出偏差图的工艺流程500。在步骤502中,例如采用光刻和蚀刻通过第一图案化工艺来对晶圆(例如,第一晶圆100)进行图案化。在步骤504中,生成该晶圆的第一图案的DoMa图。第一图案的DoMa图可通过临界尺寸扫描模块采用CSESM测量晶圆的器件管芯上的测试位置而生成。测试位置的数目可能非常大(例如,500或更多),且DoMa图可在第一图案化之后生成晶圆部件的准确且具体位置的临界尺寸测量值。
接下来,在步骤506中,对晶圆执行第二图案化工艺。第二图案化工艺可包括光刻和蚀刻以生成更复杂的图案(例如,相较于第一图案沿不同方向延伸)。可选地,例如,第二图案化工艺可为蚀刻工艺,从而将第一图案化工艺中被图案化的硬掩模转印至下部的器件层。在步骤508中,例如使用临界尺寸扫描模块和CDESM来生成该晶圆的第二图案的DoMa图。第二图案化工艺的DoMa图可提供第二图案化后的晶圆部件的具体位置的临界尺寸测量值。
在步骤510中,由第一和第二图案的DoMa图计算得出偏差图。例如,偏差图可通过获取DoMa图的差值而计算得出。因此,偏差图为测量晶圆的临界尺寸在第一和第二图案化工艺之间的变化的DoMa图。
图19示出了根据多个实施例计算偏差图的工艺流程600。在步骤602中,例如采用光刻和蚀刻通过第一图案化工艺对晶圆(例如,第二晶圆300)进行图案化。在步骤604中,生成该晶圆的第一图案的DoMa图。第一图案的DoMa图可通过临界尺寸扫描模块采用CSESM测量晶圆的器件管芯上的测试位置而生成。测试位置的数目可能非常大(例如,500或更多),且DoMa图可在第一图案化之后生成晶圆部件的准确且具体位置的临界尺寸测量值。
在步骤606中,计算得出第二图案的模拟DoMa图。该模拟DoMa图可通过将第一图案的DoMa图添加到偏差图中而计算得出。该偏差图可由对先前晶圆的图案化(例如使用图18所示的工艺)而计算得出。该偏差图可提供先前晶圆的临界尺寸在第一和第二图案化工艺之间的变化的测量值。该模拟DoMa图可基于晶圆在第一次图案化之后的临界尺寸和偏差图来预测晶圆在第二次图案化后的临界尺寸。
接下来,在步骤608中,将模拟DoMa图与期望DoMa图进行比较。期望DoMa图可包括晶圆的基于其设计的期望临界尺寸。基于模拟DoMa图与期望DoMa图之间的差值,可执行步骤610和步骤612来改善CDU、WiW均匀性等。例如,在步骤610中,可通过扩展/缩小晶圆中的某些部件来微调第一图案。扩展晶圆中的部件可通过在该部件上沉积涂层来实现,而缩小晶圆中的部件可通过执行额外的蚀刻来实现。在步骤612中,在晶圆上执行第二图案化。第二图案化工艺可包括光刻与蚀刻的结合。在一实施例中,可例如通过调整曝光剂量调整第二图案化的光刻工艺条件来获得期望的临界尺寸。在另一实施例中,可调整第二图案化的蚀刻工艺参数。例如,可改变第二蚀刻工艺的气流速度、气流分布、温度和/或偏置功率以获得期望的临界尺寸。本领域技术人员可以想到,微调第一图案、调整光刻条件和调整蚀刻参数可基于模拟DoMa图与期望DoMa图之间的差值独立地执行或共同地执行。
在步骤614中,可更新偏差图。例如,可生成该晶圆的第二图案的DoMa图。晶圆的新偏差图可由第二图案的DoMa图与微调第一图案(步骤610)后计算得出的DoMa图而生成。然后可对这两个偏差图取平均值。在可选实施例中,可将第二图案的DoMa图与期望DoMa图进行比较,且任何差值可用于更新该偏差图。然后,该工艺可从步骤602开始重复,从而采用更新的偏差图对随后的晶圆进行图案化。
根据实施例,一种对晶圆进行图案化的方法包括:对晶圆执行第一图案化;以及在执行第一图案化后,计算模拟剂量分布(DoMa)图,预测晶圆的临界尺寸由于对晶圆执行第二图案化而发生的改变。该方法还包括对晶圆执行第二图案化。执行第二图案化包括根据晶圆的模拟DoMa图与期望临界尺寸之间的差值而调整第二图案化的一个或多个蚀刻参数。
根据另一实施例,一种对晶圆进行图案化的方法包括:对晶圆执行第一图案化;计算测量第一晶圆由于第一图案化而得到的临界尺寸的第一剂量分布(DoMa)图;以及采用第一DoMa图与偏差图计算模拟DoMa图。基于对第二晶圆执行第一图案化和第二图案化来计算偏差图。该方法还包括基于第一晶圆的模拟DoMa图与期望临界尺寸之间的差值对第一晶圆的第一图案化进行微调。
根据又一实施例,一种对晶圆进行图案化的方法包括:对第一晶圆执行第一图案化;以及计算测量第一晶圆上的第一图案的临界尺寸的第一剂量分布(DoMa)图。在第一晶圆上图案化第二图案,并计算测量第一晶圆上的第二图案的临界尺寸的第二DoMa图。通过获取第一DoMa图与第二DoMa图之间的差值来计算偏差图。该方法还包括在第二晶圆上图案化第一图案,并计算测量第二晶圆上的第一图案的临界尺寸的第三DoMa图。通过将第三DoMa图与偏差图加和而计算模拟DoMa图。将模拟DoMa图与期望DoMa图进行比较。期望DoMa图包括第二晶圆的期望临界尺寸。调整第二晶圆的一个或多个图案化工艺。一个或多个图案化工艺包括微调第二晶圆上的第一图案和调整在第二晶圆上对第二图案进行图案化的蚀刻参数的至少其中之一。在第二晶圆上对第二图案进行图案化。
尽管本发明已参照示意性实施例进行了描述,但该描述不应从限制意义上理解。参照该描述,示意性实施的多种变型和组合以及本发明的其它实施例对本领域技术人员是显而易见的。这因此意味着所附权利要求包含任意这些变型或实施例。

Claims (10)

1.一种用于对晶圆进行图案化的方法,包括:
对第一晶圆执行第一图案化;
在执行所述第一图案化之后,计算模拟剂量分布(DoMa)图,所述模拟DoMa图预测所述第一晶圆的临界尺寸由于对所述第一晶圆执行第二图案化而发生的变化;以及
对所述第一晶圆执行所述第二图案化,其中,执行所述第二图案化包括根据所述模拟DoMa图与所述第一晶圆的期望临界尺寸之间的差值而调整所述第二图案化的一个或多个蚀刻参数。
2.根据权利要求1所述的方法,其中,计算所述模拟DoMa图包括:通过执行所述第一图案化来计算测量所述第一晶圆的临界尺寸的第一DoMa图并将所述第一DoMa图添加至偏差图。
3.根据权利要求2所述的方法,还包括:通过获取第二DoMa图与第三DoMa图之间的差值来计算所述偏差图,其中,所述第二DoMa图通过对第二晶圆执行所述第一图案化来测量所述第二晶圆的临界尺寸,并且所述第三DoMa图通过对所述第二晶圆执行所述第二图案化来测量所述第二晶圆的临界尺寸。
4.根据权利要求1所述的方法,其中,所述一个或多个蚀刻参数包括在所述第二图案化期间所采用的静电吸盘(ESC)的温度、施加至所述ESC的偏置功率、进入所述第二图案化期间所采用的蚀刻室的气流速度、所述蚀刻室中的气流分布或它们的组合。
5.根据权利要求1所述的方法,还包括:在执行所述第二图案化之前,根据所述模拟DoMa图与所述第一晶圆的期望临界尺寸之间的差值对所述第一晶圆的第一图案化进行微调。
6.根据权利要求1所述的方法,其中,执行所述第二图案化包括:执行光刻工艺,并根据所述模拟DoMa图与所述第一晶圆的期望临界尺寸之间的差值来调整所述光刻工艺的曝光剂量。
7.一种用于对晶圆进行图案化的方法,包括:
对第一晶圆执行第一图案化;
计算第一剂量分布(DoMa)图,所述第一DoMa图测量所述第一晶圆由于所述第一图案化而产生的临界尺寸;
采用所述第一DoMa图和偏差图计算模拟DoMa图,其中,基于对第二晶圆执行所述第一图案化和执行第二图案化来计算所述偏差图;以及
基于所述模拟DoMa图与所述第一晶圆的期望临界尺寸之间的差值对所述第一晶圆的第一图案化进行微调。
8.根据权利要求7所述的方法,还包括:在对所述第一图案化进行微调之后,对所述第一晶圆执行所述第二图案化。
9.根据权利要求7所述的方法,其中,计算所述第一DoMa图包括使用临界尺寸扫描电子显微镜。
10.一种用于对晶圆进行图案化的方法,包括:
在第一晶圆上图案化第一图案;
计算测量所述第一晶圆上的第一图案的临界尺寸的第一剂量分布(DoMa)图;
在所述第一晶圆上图案化第二图案;
计算测量所述第一晶圆上的第二图案的临界尺寸的第二DoMa图;
通过获取所述第一DoMa图与所述第二DoMa图之间的差值计算偏差图;
在第二晶圆上图案化为所述第一图案;
计算测量所述第二晶圆上的第一图案的临界尺寸的第三DoMa图;
通过将所述第三DoMa图与所述偏差图相加来计算模拟DoMa图;
将所述模拟DoMa图与期望DoMa图进行比较,其中,所述期望DoMa图包括所述第二晶圆的期望临界尺寸;
调整所述第二晶圆的一个或多个图案化工艺,其中,调整所述第二晶圆的一个或多个图案化工艺包括以下步骤中的至少一种:
微调所述第二晶圆上的第一图案;和
调整用于在所述第二晶圆上图案化所述第二图案的蚀刻参数;以及在所述第二晶圆上图案化所述第二图案。
CN201410100608.1A 2013-12-20 2014-03-18 采用反馈控制改善晶圆图案化的均匀性 Active CN104730858B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/136,449 US9064741B1 (en) 2013-12-20 2013-12-20 Uniformity in wafer patterning using feedback control
US14/136,449 2013-12-20

Publications (2)

Publication Number Publication Date
CN104730858A true CN104730858A (zh) 2015-06-24
CN104730858B CN104730858B (zh) 2019-05-21

Family

ID=53397215

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410100608.1A Active CN104730858B (zh) 2013-12-20 2014-03-18 采用反馈控制改善晶圆图案化的均匀性

Country Status (2)

Country Link
US (2) US9064741B1 (zh)
CN (1) CN104730858B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109240050A (zh) * 2018-11-19 2019-01-18 赛莱克斯微系统科技(北京)有限公司 一种光刻方法、掩膜及光刻系统
CN110085531A (zh) * 2019-04-23 2019-08-02 武汉新芯集成电路制造有限公司 晶圆温度分布的检测方法
CN110121681A (zh) * 2016-12-28 2019-08-13 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN112198762A (zh) * 2015-12-22 2021-01-08 Asml荷兰有限公司 用于过程窗口表征的设备和方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324578B2 (en) * 2014-01-29 2016-04-26 Taiwan Semiconductor Manufacturing Company Limited Hard mask reshaping
US9330968B1 (en) * 2014-11-06 2016-05-03 United Microelectronics Corp. Method of fabricating integrated circuit
US20170005013A1 (en) 2015-06-30 2017-01-05 Varian Semiconductor Equipment Associates, Inc. Workpiece Processing Technique
US11121229B2 (en) * 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US20020072003A1 (en) * 2000-10-30 2002-06-13 Nova Measuring Instruments Ltd. Process control for micro-lithography
US20040110311A1 (en) * 2002-12-04 2004-06-10 Flanner Janet M. Electronically diagnosing a component in a process line using a substrate signature
CN1670914A (zh) * 2003-10-03 2005-09-21 台湾积体电路制造股份有限公司 改善晶圆图案化结构临界尺寸均匀性方法及用于微影系统
US20080233662A1 (en) * 2007-03-21 2008-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced Process Control for Semiconductor Processing
CN102446749A (zh) * 2011-08-29 2012-05-09 上海华力微电子有限公司 用于扫描电子显微镜观测中精确图形定位的方法
CN102737960A (zh) * 2011-04-14 2012-10-17 台湾积体电路制造股份有限公司 用于前馈先进工艺控制的方法和系统
US20130295698A1 (en) * 2010-07-26 2013-11-07 Rainer Pforr Lithographic targets for uniformity control

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US20020072003A1 (en) * 2000-10-30 2002-06-13 Nova Measuring Instruments Ltd. Process control for micro-lithography
US20040110311A1 (en) * 2002-12-04 2004-06-10 Flanner Janet M. Electronically diagnosing a component in a process line using a substrate signature
CN1670914A (zh) * 2003-10-03 2005-09-21 台湾积体电路制造股份有限公司 改善晶圆图案化结构临界尺寸均匀性方法及用于微影系统
US20080233662A1 (en) * 2007-03-21 2008-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced Process Control for Semiconductor Processing
US20130295698A1 (en) * 2010-07-26 2013-11-07 Rainer Pforr Lithographic targets for uniformity control
CN102737960A (zh) * 2011-04-14 2012-10-17 台湾积体电路制造股份有限公司 用于前馈先进工艺控制的方法和系统
CN102446749A (zh) * 2011-08-29 2012-05-09 上海华力微电子有限公司 用于扫描电子显微镜观测中精确图形定位的方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112198762A (zh) * 2015-12-22 2021-01-08 Asml荷兰有限公司 用于过程窗口表征的设备和方法
US11592752B2 (en) 2015-12-22 2023-02-28 Asml Netherlands B.V. Apparatus and method for process-window characterization
CN112198762B (zh) * 2015-12-22 2023-09-19 Asml荷兰有限公司 用于过程窗口表征的设备和方法
CN110121681A (zh) * 2016-12-28 2019-08-13 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
US11183434B2 (en) 2016-12-28 2021-11-23 Asml Netherlands B.V. Methods of guiding process models and inspection in a manufacturing process
CN110121681B (zh) * 2016-12-28 2022-04-01 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN109240050A (zh) * 2018-11-19 2019-01-18 赛莱克斯微系统科技(北京)有限公司 一种光刻方法、掩膜及光刻系统
CN110085531A (zh) * 2019-04-23 2019-08-02 武汉新芯集成电路制造有限公司 晶圆温度分布的检测方法
CN110085531B (zh) * 2019-04-23 2021-02-05 武汉新芯集成电路制造有限公司 晶圆温度分布的检测方法

Also Published As

Publication number Publication date
US9362185B2 (en) 2016-06-07
US9064741B1 (en) 2015-06-23
CN104730858B (zh) 2019-05-21
US20150179531A1 (en) 2015-06-25
US20150279750A1 (en) 2015-10-01

Similar Documents

Publication Publication Date Title
CN104730858A (zh) 采用反馈控制改善晶圆图案化的均匀性
US9922839B2 (en) Low roughness EUV lithography
TWI428982B (zh) 可切換中性射束源
TWI611478B (zh) 藉由修整時間和溫度逐晶圓控制臨界尺寸及臨界尺寸均勻性
US8683395B2 (en) Method and system for feed-forward advanced process control
KR20190119140A (ko) 3차원 메모리 장치를 형성하는 계단식 에칭
US20120149133A1 (en) Mems process method for high aspect ratio structures
KR20030076672A (ko) 식각 선택도를 제어하기 위한 방법 및 장치
KR20190026958A (ko) 제조 공정에서 기판 상의 패턴들의 포지셔닝을 제어하기 위한 방법 및 컴퓨터 프로그램 제품
US9978563B2 (en) Plasma treatment method to meet line edge roughness and other integration objectives
KR102594444B1 (ko) 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법
KR20190030182A (ko) 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
TWI635545B (zh) 以選擇的蝕刻劑氣體混合物與操作變數之調變修整無機光阻
JP2009170502A (ja) 半導体製造支援システム
US7674350B2 (en) Feature dimension control in a manufacturing process
US20070239305A1 (en) Process control systems and methods
TW202136943A (zh) 半導體製造設備的控制系統及方法
CN110928149B (zh) 关键尺寸的控制方法及控制系统
US20090156011A1 (en) Method of controlling CD bias and CD microloading by changing the ceiling-to-wafer gap in a plasma reactor
WO2010110878A1 (en) Plasma etching method
US10386829B2 (en) Systems and methods for controlling an etch process
JP2010098176A (ja) 半導体装置の製造方法
US20220406609A1 (en) Plasma processing method, plasma processing apparatus, and plasma processing system
CN106024758A (zh) 多晶硅栅极关键尺寸的先进控制方法
US9748152B2 (en) Semiconductor arrangement and formation thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant