CN113406854A - Euv光掩模及其制造方法 - Google Patents

Euv光掩模及其制造方法 Download PDF

Info

Publication number
CN113406854A
CN113406854A CN202110041748.6A CN202110041748A CN113406854A CN 113406854 A CN113406854 A CN 113406854A CN 202110041748 A CN202110041748 A CN 202110041748A CN 113406854 A CN113406854 A CN 113406854A
Authority
CN
China
Prior art keywords
layer
hard mask
absorber
patterned
reflective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110041748.6A
Other languages
English (en)
Inventor
李信昌
陈嘉仁
许倍诚
连大成
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113406854A publication Critical patent/CN113406854A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)

Abstract

本公开涉及EUV光掩模及其制造方法。在一种制造反射掩模的方法中,在掩模坯之上形成光致抗蚀剂层。掩模坯包括衬底、在衬底上的反射多层、在反射多层上的帽盖层、在帽盖层上的吸收体层、和硬掩模层,并且吸收体层由Cr、CrO或CrON制成。光致抗蚀剂层被图案化,硬掩模层通过使用经图案化的光致抗蚀剂层而被图案化,吸收体层通过使用经图案化的硬掩模层而被图案化,并且附加的元素被引入到经图案化的吸收体层来形成经转化的吸收体层。

Description

EUV光掩模及其制造方法
技术领域
本公开涉及EUV光掩模及其制造方法。
背景技术
光刻操作是半导体制造工艺中的关键操作之一。光刻技术包括紫外光刻、深紫外光刻和极紫外光刻(EUVL)。光掩模是光刻操作中的重要组件。制造具有包括高反射率部分和高吸收率部分的高对比度的EUV光掩模至关重要。
发明内容
根据本公开的一个方面,提供了一种反射掩模,包括:衬底;反射多层,设置在所述衬底上;帽盖层,设置在所述反射多层上;以及吸收体层,设置在所述帽盖层上,其中,所述吸收体层包括CrN层、氮浓度为10原子%至30原子%的CrON层、或氮浓度为10原子%至30原子%的CrCON层。
根据本公开的另一方面,提供了一种制造反射掩模的方法,所述方法包括:在掩模坯之上形成光致抗蚀剂层,所述掩模坯包括衬底、在所述衬底上的反射多层、在所述反射多层上的帽盖层、在所述帽盖层上的中间层、在所述中间层上的吸收体层、在所述吸收体层之上的第一硬掩模层、以及在所述第一硬掩模层上的第二硬掩模层;图案化所述光致抗蚀剂层;通过使用经图案化的光致抗蚀剂层,图案化所述第二硬掩模层;通过使用经图案化的第二硬掩模层,图案化所述第一硬掩模层;通过使用经图案化的第一硬掩模层和经图案化的第二硬掩模层,图案化所述吸收体层;以及去除所述第一层硬掩模层,其中,所述第二硬掩模层和所述吸收体层包括Cr基化合物,并且所述第一硬掩模层和所述中间层包括Ta基化合物。
根据本公开的又一方面,提供了一种制造反射掩模的方法,所述方法包括:在掩模坯之上形成光致抗蚀剂层,所述掩模坯包括衬底、在所述衬底上的反射多层、在所述反射多层上的帽盖层、在所述帽盖层上的中间层、在所述中间层上的吸收体层、在所述吸收体层上的氧化物层、在所述氧化物层上的第一硬掩模层、以及在所述第一硬掩模层上的第二硬掩模层;图案化所述光致抗蚀剂层;通过使用经图案化的光致抗蚀剂层,图案化所述第二硬掩模层;通过使用经图案化的第二硬掩模层,图案化所述第一硬掩模层;通过使用经图案化的第一硬掩模层和经图案化的第二硬掩模层,图案化所述氧化物层和所述吸收体层;以及图案化所述中间层。
附图说明
结合附图阅读时,从以下具体实施方式可以最好地理解本公开。需要强调的是,根据工业中的标准实践,各种结构未按比例绘制,并且仅用于说明目的。事实上,为了讨论的清楚,各种结构的尺寸可能被任意地增大或缩小。
图1A、图1B、图1C、图1D和图1E示出了根据本公开实施例的EUV光掩模坯(blank)。
图2A、图2B、图2C、图2D、图2E和图2F示意性地示出了根据本公开实施例的EUV光掩模的制造方法。
图3A、图3B、图3C、图3D和图3E示意性地示出了根据本公开实施例的EUV光掩模的制造方法。
图4示出了根据本公开实施例的EUV光掩模的横截面图。
图5A、图5B和图5C示出了根据本公开另一实施例的吸收体层的多层结构的横截面图。
图6示出了根据本公开实施例的EUV光掩模的横截面图。
图7示出了根据本公开的实施例的制造用于EUV光掩模的掩模坯的流程图。
图8A示出了制造半导体器件的方法的流程图,并且图8B、图8C、图8D和图8E示出了根据本公开实施例的制造半导体器件的方法的顺序制造操作。
具体实施方式
应当理解,下面的公开提供了用于实现本发明的不同特征的许多不同的实施例或示例。以下描述组件和布置的特定实施例或示例以简化本公开。当然,这些只是示例,并且不旨在进行限制。例如,元件的尺寸不限于所公开的范围或值,而是可以取决于器件的工艺条件和/或所需特性。此外,在以下描述中,在第二特征之上或上形成第一特征可以包括其中第一和第二特征以直接接触的方式形成的实施例,还可以包括其中在第一和第二特征之间可以形成额外的特征,使得第一和第二特征可能不直接接触的实施例。为了简单和清楚起见,各种特征可能是不同的比例任意绘制的。
此外,本文中可能使用了空间相关术语,例如,“下方”、“之下”、“低于”、“以上”、“上部”等,以易于描述图中所示的一个元素或结构相对于另一个(一些)元素或特征的关系。除了图中所示的朝向之外,空间相关术语还意在包含器件在使用或操作中的不同朝向。器件可以以其他方式来定向(旋转90度或在其他朝向上),这里使用的空间相对描述符也可以相应地解释。此外,术语“由...构成”可以表示“包括”或“由...组成”。在本公开中,短语“A、B和C中的一个”是指“A、B和/或C”(A、B、C、A和B、A和C、B和C、或者A、B和C),并且除非另有说明,否则不是指来自A的一个元素、来自B的一个元素和来自C的一个元素。
本公开的实施例提供了一种制造EUV光掩模的方法。更具体地,本公开提供了防止或抑制对EUV光掩模的背面导电层的损坏的技术。
EUV光刻(EUVL)使用的扫描仪使用波长在大约1nm至大约100nm(例如13.5nm)的极紫外(EUV)区域中的光。掩模是EUVL系统的关键组件。由于光学材料对EUV辐射不透明,因此EUV光掩模是反射掩模。电路图案形成在设置在反射结构之上的吸收体层中。吸收体具有低EUV反射率,例如小于3-5%。
本公开提供了具有低反射(高吸收)吸收体结构的EUV反射光掩模。
图1A和图1B示出了根据本公开实施例的EUV反射光掩模坯。图1A是平面图(从顶部看),图1B是沿着X方向的横截面图。
在一些实施例中,具有电路图案的EUV光掩模由EUV光掩模坯5形成。EUV光掩模坯5包括衬底10、多个硅和钼交替层的多层Mo/Si堆叠15、帽盖层20、保护层22、吸收体层25、第一硬掩模层30和第二硬掩模层32。此外,如图1B所示,在衬底10的背面形成有背面导电层45。在一些实施例中,如图1B所示,在吸收体层25的顶表面上形成有氧化物层27。在其他实施例中,如图1D所示,在吸收体层25的顶表面上没有形成氧化物层。
在一些实施例中,衬底10由低热膨胀材料形成。在一些实施例中,衬底是低热膨胀玻璃或石英,例如熔融硅玻璃或熔融石英。在一些实施例中,低热膨胀玻璃衬底透射可见光波长、可见光谱附近的一部分红外波长(近红外)和紫外波长的一部分的光线。在一些实施例中,低热膨胀玻璃衬底吸收极紫外线波长和接近极紫外波长的深紫外波长。在一些实施例中,衬底10的尺寸是152mm×152mm,厚度大约20mm。在其他实施例中,衬底10的尺寸小于152mm×152mm,并且等于或大于148mm×148mm。衬底10的形状是正方形或矩形。
在一些实施例中,衬底之上的功能层(多层Mo/Si堆叠15、帽盖层20、保护层22、吸收体层25、第一硬掩模层30和第二硬掩模层32)的宽度小于衬底10的宽度。在一些实施例中,功能层的尺寸在从约138mm×138mm至142mm×142mm的范围内。在一些实施例中,功能层的形状在平面视图中是正方形或矩形。
在其他实施例中,保护层22、吸收体层25、第一硬掩模层30和第二硬掩模层32具有比衬底10、多层Mo/Si堆叠15、以及帽盖层20更小的尺寸,在从约138mm×138mm至142mm×142mm的范围内,如图1C所示。在通过例如溅射形成各功能层时,可以通过使用具有在从大约138mm×138mm至142mm×142mm范围内的开口的框形盖来形成一个或更多个功能层的较小尺寸。在其他实施例中,衬底10之上的所有层具有与衬底10相同的尺寸。
在一些实施例中,Mo/Si多层堆叠15包括约30层硅和钼交替层至约60层硅和钼交替层。在某些实施例中,形成约40至约50层硅和钼交替层。在一些实施例中,对于感兴趣的波长,例如13.5nm,反射率高于约70%。在一些实施例中,硅层和钼层是通过化学气相沉积(CVD)、等离子体增强CVD(PECVD)、原子层沉积(ALD)、物理气相沉积(PVD)(溅射)、或任何其他合适的形成膜的方法来形成的。硅和钼的每一层厚约2nm至约10nm。在一些实施例中,硅层和钼层具有大约相同的厚度。在其他实施例中,硅层和钼层具有不同的厚度。在一些实施例中,每个硅层的厚度为大约4nm,而每个钼层的厚度为大约3nm。
在其他实施例中,多层堆叠15包括交替的钼层和铍层。在一些实施例中,多层堆叠15中的层数在从约20至约100的范围内,但是只要保持足够的反射率用于使目标衬底成像,就可以允许任何数目的层。在一些实施例中,对于感兴趣的波长,例如13.5nm,反射率高于约70%。在一些实施例中,多层堆叠15包括约30至约60层Mo和Be的交替层。在本公开的其他实施例中,多层堆叠15包括约40层至约50层Mo和Be的交替层。
在一些实施例中,帽盖层20被设置在Mo/Si多层堆叠15之上,以防止多层堆叠15的氧化。在一些实施例中,帽盖层20由钌、钌合金(例如,RuNb、RuZr、RuZrN、RuRh、RuNbN、RuRhN、RuV或RuVN)、或钌基氧化物(例如,RuO2、RuNbO、RiVO或RuON)形成,厚度在约2nm至约10nm的范围内。在一些实施例中,帽盖层20的厚度在从约2nm至约5nm的范围内。在一些实施例中,帽盖层20的厚度为3.5nm±10%。在一些实施例中,帽盖层20通过化学气相沉积、等离子体增强化学气相沉积、原子层沉积、物理气相沉积(例如,溅射)或任何其他合适的形成膜的方法形成。在其他实施例中,Si层用作帽盖层20。
在一些实施例中,在帽盖层20和吸收体层25之间形成保护(中间)层22。在一些实施例中,保护层22用于保护帽盖层20。在一些实施例中,保护层22包括:Ta基材料,例如,TaB、TaO、TaBO或TaBN;硅;硅基化合物(例如,氧化硅、SiN、SiON或MoSi);钌;或钌基化合物(Ru或RuB)。在一些实施例中,保护层22的厚度为约2nm至约20nm。在一些实施例中,保护层22是通过化学气相沉积、等离子体增强化学气相沉积、原子层沉积、物理气相沉积、或任何其他合适的膜形成方法来形成的。在一些实施例中,保护层22在吸收体层的图案化操作期间用作蚀刻停止层。
在其他实施例中,中间层22是光催化层,其可以利用EUV辐射将在光掩模上形成的碳氢化合物残留物催化成CO2和/或H2O。因此,执行掩模表面的原位自清洁。在一些实施例中,在EUV扫描仪系统中,将氧气和氢气注入到EUV腔室中以维持腔室压力(例如,在约2Pa)。腔室背景气体可以是氧的来源。除了光催化功能之外,光催化层还被设计为具有足够的耐久性以及耐各种化学物质和各种化学工艺(例如,清洁和蚀刻)的能力。在后续工艺中用于制造EUV反射掩模的臭氧水可能损坏由Ru制成的帽盖层20,并且产生显著的EUV反射率下降。此外,在Ru氧化之后,Ru氧化物容易被诸如C12或CF4气体之类的蚀刻剂蚀刻掉。在一些实施例中,光催化层包括下列项中的一者或多者:氧化钛(TiO2)、氧化锡(SnO)、氧化锌(ZnO)或硫化镉(CdS)。在一些实施例中,光催化层22的厚度在从约2nm至约10nm的范围内,并且在其他实施例中,在从约3nm至约7nm的范围内。当厚度太薄时,光催化层可能不能充分用作蚀刻停止层。当厚度太大时,光催化层可能吸收EUV辐射。
吸收体层25设置在中间(保护)层22之上。在本公开的实施例中,吸收体层25包括Cr基材料,例如,Cr、CrN、CrON、和/或CrCON。在一些实施例中,在CrON或CrCON的情况下,氮含量在从约10原子%至约30原子%的范围内。在一些实施例中,吸收体层25具有Cr、CrN、CrON、和/或CrCON的多层结构。
在某些实施例中,CrN层用作吸收体层25。在一些实施例中,当使用CrN层时,氮含量在约16原子%至约40原子%的范围内。当氮含量在约16原子%至约30原子%的范围内时,CrN吸收体层包括Cr相(phase)和Cr2N相。当氮含量在约30原子%至约33原子%的范围内时,CrN吸收体层基本上由Cr2N相组成(例如,大于95vol%)。当氮含量在约33原子%至约40原子%的范围内时,CrN吸收体层包括Cr2N相和CrN相。可以通过电子能量损失谱(EELS)、透射电子显微镜(TEM)、和/或X射线衍射(XRD)分析来观察相。在一些实施例中,两个相形成固溶体(solid solution)。
在一些实施例中,吸收剂层25中的氮浓度不均匀。在一些实施例中,在吸收体层25的中间或中央处的氮浓度高于在吸收体层25的表面区域处的氮浓度。在一些实施例中,CrN吸收体层包括除了Cr和N以外的一种或多种杂质,其含量小于约5原子%。在一些实施例中,吸收体层25还包括Co、Te、Hf和/或Ni中的一种或多种元素。
在一些实施例中,吸收体层25的厚度在从约20nm至约50nm的范围内,在其他实施例中,在从约35nm至约46nm的范围内。
在一些实施例中,抗反射层(未示出)可选地设置在吸收体层25之上。在一些实施例中,抗反射层由氧化硅形成,并且具有约2nm至约10nm的厚度。在其他实施例中,厚度在从约12nm至约18nm范围内的TaBO层被用作抗反射层。在一些实施例中,抗反射层的厚度为约3nm至6nm。在一些实施例中,抗反射层通过化学气相沉积、等离子体增强化学气相沉积、原子层沉积、物理气相沉积或任何其他合适的形成膜的方法形成。
在一些实施例中,氧化物层27包括Cr2O3或CrO2中的一者或多者。在一些实施例中,在掩模坯的制造操作期间形成氧化物层27。在一些实施例中,氧化物层27的厚度在从约1nm至约3nm的范围内。在一些实施例中,如图1D所示,没有形成氧化物层。
在一些实施例中,第一硬掩模层30设置在氧化物层27之上。在一些实施例中,第一硬掩模层30形成在抗反射层之上。在一些实施例中,第一硬掩模层30由Ta基材料制成,例如,TaB、TaO、TaBO或TaBN。在其他实施例中,第一硬掩模层30由硅、硅基化合物(例如,氧化硅、SiN、SiON或MoSi)、钌或钌基化合物(Ru或RuB)制成。在一些实施例中,第一硬掩模层30由与保护层22相同或相似的材料制成。在一些实施例中,第一硬掩模层30的厚度为约2nm至约20nm。在一些实施例中,第一硬掩模层30是通过化学气相沉积、等离子体增强化学气相沉积、原子层沉积、物理气相沉积、或任何其他合适的膜形成方法来形成的。
在一些实施例中,第二硬掩模层32设置在第一硬掩模层30之上。在一些实施例中,第二硬掩模层32由GaN、CrON、CrCON、氧化硅、SiCO、和/或氧化钇中的一者或多者制成。在一些实施例中,第二硬掩模层32的厚度为约2nm至约20nm。在一些实施例中,第二硬掩模层32小于或大于第一硬掩模层30的厚度。在一些实施例中,第二硬掩模层32是通过化学气相沉积、等离子体增强化学气相沉积、原子层沉积、物理气相沉积、或任何其他合适的膜形成方法来形成的。
在一些实施例中,第二硬掩模层32由与第一硬掩模层30的材料相比对于包括氯和氧的等离子体具有更高蚀刻速率的材料制成。在一些实施例中,第一硬掩模层30由与第二硬掩模层32的材料相比对于包括氟的等离子体具有更高蚀刻速率的材料制成。
在一些实施例中,在衬底之上的一个或多个功能层(多层Mo/Si堆叠15、帽盖层20、保护层22、吸收体层25、氧化物层27、第一硬掩模层30和第二硬掩模层32)具有多晶结构(例如,纳米晶(nano-crystalline)结构)或非晶结构。
在一些实施例中,背面导电层45被设置在衬底10的第二主表面上,该第二主表面与衬底10的在其上形成有Mo/Si多层堆叠15的第一主表面相对。在一些实施例中,背面导电层45由TaB(硼化钽)或其他Ta基导电材料形成。在一些实施例中,硼化钽是晶体。结晶硼化钽包括TaB、Ta5B6、Ta3B4和TaB2。在其他实施例中,硼化钽是多晶体或非晶态的。在其他实施例中,背面导电层45由Cr基导电材料(CrN或CrON)形成。在一些实施例中,背面导电层45的薄层电阻等于或小于20Ω/□。在某些实施例中,背面导电层45的薄层电阻等于或大于0.1Ω/□。在一些实施例中,背面导电层45的表面粗糙度Ra等于或小于0.25nm。在某些实施例中,背面导电层45的表面粗糙度Ra等于或大于0.05nm。此外,在一些实施例中,(在EUV光掩模内)背面导电层45的平坦度等于或小于50nm。在一些实施例中,背面导电层45的平坦度大于1nm。在一些实施例中,背面导电层45的厚度在从约50nm至约400nm的范围内。在其他实施例中,背面导电层45具有约50nm至约100nm的厚度。在某些实施例中,该厚度在从约65nm至约75nm的范围内。在一些实施例中,背面导电层45通过大气化学气相沉积(CVD)、低压CVD、等离子体增强CVD、激光增强CVD、原子层沉积(ALD)、分子束外延(MBE)、物理气相沉积(包括热沉积、脉冲激光沉积、电子束蒸发、离子束辅助蒸发和溅射)或任何其他合适的形成膜的方法形成。在一些实施例中,在CVD的情况下,源气体包括TaCl5和BCl3
在一些实施例中,如图1E所示,在衬底10和多层堆叠15之间形成有衬底保护层12。在一些实施例中,衬底保护层12由Ru或Ru化合物形成,例如,RuO、RuNb、RuNbO、RuZr和RuZrO。在一些实施例中,衬底保护层12由与帽盖层20相同或者不同的材料形成。在一些实施例中,衬底保护层12的厚度在从约2nm至约10nm的范围内。
图2A-图2F和图3A-图3E示意性地示出了用于极紫外光刻(EUVL)的EUV光掩模的制造方法。应当理解,可以在图2A-图3E所示工艺之前、之中和之后提供附加操作,并且对于该方法的另外的实施例,下面描述的操作中的一些可以被替换或消除。这些操作/工艺的顺序可以是可互换的。
在EUV光掩模的制造中,在EUV光掩模坯的第二硬掩模层32之上形成第一光致抗蚀剂层35,如图2A所示,然后第一光致抗蚀剂层35被选择性地曝光于光化辐射EB,如图2B所示。在一些实施例中,在形成第一光致抗蚀剂层35之前,对EUV光掩模坯进行检查。选择性地曝光的第一光致抗蚀剂层35被显影,以在第一光致抗蚀剂层35中形成图案40,如图2C所示。在一些实施例中,光化辐射EB是电子束或离子束。在一些实施例中,图案40对应于在后续操作中EUV光掩模将被用来形成的半导体器件特征的图案。在一些实施例中,第二硬掩模层32上的第一光致抗蚀剂层35的厚度在从约500nm至约1000nm的范围内。
接下来,第一光致抗蚀剂层35中的图案40被延伸到第二硬掩模层32中,从而在第二硬掩模层32中形成图案41,暴露出第一硬掩模层30的多个部分,如图2D所示。在一些实施例中,通过使用对第一硬掩模层30有选择性的合适的湿法或干法蚀刻剂进行蚀刻,从而形成延伸到第二硬掩模层32中的图案41。在一些实施例中,使用含氯气体(例如,Cl2、HCl、BCl和CCl4)和含氧气体(例如,O2)的等离子体干法蚀刻操作被用于图案化第二硬掩模层32。在一些实施例中,第一硬掩模层30的材料被选择为相对于使用氯和氧的等离子体干法蚀刻操作具有更高的蚀刻阻力(较低的蚀刻速率),并且蚀刻在第一硬掩模层30处基本停止。在形成第二硬掩模层32中的图案41之后,利用光致抗蚀剂剥离剂去除第一光致抗蚀剂层35,以暴露出第二硬掩模层32的上表面,如图2E所示。
接下来,第二硬掩模层32中的图案41被延伸到第一硬掩模层30中,暴露出氧化物层27的多个部分,如图2F所示。在一些实施例中,通过使用对氧化物层27有选择性的合适的湿法或干法蚀刻剂进行蚀刻,从而形成延伸到第一硬掩模层30中的图案41。在一些实施例中,使用含氟气体(例如,碳氟化合物(CF4、CHF3等)和SF6)的等离子体干法蚀刻操作被用于图案化第一硬掩模层30。在一些实施例中,氧化物层27的材料被选择为相对于使用氟的等离子体干法蚀刻操作具有更高的蚀刻阻力(较低的蚀刻速率),并且蚀刻在氧化物层27处基本停止。
然后,第一硬掩模层30和第二硬掩模层32中的图案41被延伸到吸收体层25中,从而在吸收体层25中形成图案42,暴露出中间层22的多个部分,如图3A所示。通过使用对第一硬掩模层30和/或中间层22有选择性的合适的湿法或干法蚀刻剂,来蚀刻氧化物层27和吸收体层25。在一些实施例中,使用含氯气体(例如,Cl2、HCl、BCl和CCl4)和含氧气体(例如,O2)的等离子体干法蚀刻操作被用于图案化氧化物层27和吸收体层25。在一些实施例中,中间层22的材料被选择为相对于使用氯和氧的等离子体干法蚀刻操作具有更高的蚀刻阻力(较低的蚀刻速率),并且蚀刻在中间层22处基本停止。在一些实施例中,如图3A所示,第二硬掩模层32在蚀刻氧化物层27和吸收体层25期间被去除。具体地,当第二硬掩模层32由Cr基材料(例如,CrON或CrCON)制成时,第二硬掩模层32在蚀刻氧化物层27和吸收体层25期间被去除。如果第二硬掩模层32在蚀刻氧化物层27和吸收体层25之后保留,则在一些实施例中通过使用合适的湿法或干法蚀刻来执行对第二硬掩模层32的附加去除操作。
然后,第一硬掩模层30以及中间层22的位于图案开口的底部处的部分被一起去除,如图3B所示。在一些实施例中,蚀刻是湿法蚀刻和/或干法蚀刻。在一些实施例中,使用含氟气体(例如,碳氟化合物(CF4、CHF3等)和SF6)的等离子体干法蚀刻操作被用于去除第一硬掩模层30和中间层22。具体地,当第一硬掩模层30由与中间层22相同或相似的材料制成时,第一硬掩模层32与中间层22被一起去除。在一些实施例中,帽盖层20的材料被选择为相对于使用氟的等离子体干法蚀刻操作具有更高的蚀刻阻力(较低的蚀刻速率),并且蚀刻在帽盖层20处基本停止。
如图3C所示,在氧化物层27之上形成第二光致抗蚀剂层50,填充了氧化物层27中的图案42。第二光致抗蚀剂层50被选择性地暴露于光化辐射,例如电子束、离子束或UV辐射。选择性地曝光的第二光致抗蚀剂层50被显影,以在第二光致抗蚀剂层50中形成图案55,如图3C所示。图案55对应于围绕电路图案的黑色边缘。黑色边缘是通过去除电路图案区域周围的区域中的、EUV光掩模上的所有多层而创建的框形区域。框形区域的创建是为了防止印制EUV光掩模到晶片上时曝光相邻射野(fields)。在一些实施例中,黑色边缘的宽度在从约1mm至约5mm的范围内。
接下来,第二光致抗蚀剂层50中的图案55被延伸到氧化物层27、吸收体层25、可选的中间层22、帽盖层20和Mo/Si多层15中,从而在氧化物层27、吸收体层25、中间层22、帽盖层20和Mo/Si多层15中形成图案57(参见图3E),暴露出衬底10的多个部分,如图3D所示。在一些实施例中,通过使用对被蚀刻的每一层具有选择性的一个或多个合适的湿法或干法蚀刻剂进行蚀刻,从而形成图案57。在一些实施例中,使用等离子体干法蚀刻。
然后,通过合适的光致抗蚀剂剥离剂去除第二光致抗蚀剂层50,以暴露出氧化物层27的上表面,如图3E所示。在本公开的一些实施例中,氧化物层27、吸收体层25、中间层22、帽盖层20和Mo/Si多层15中的黑色边缘图案57限定了光掩模的黑色边缘。此外,对光掩模进行清洁操作,检查,并且根据需要修理光掩模,以提供成品光掩模。
图4示出了根据本公开的实施例的成品EUV光掩模的横截面图。在一些实施例中,如图4所示的具有电路图案42的EUV光掩模包括衬底10、由硅和钼的多个交替层组成的多层Mo/Si堆叠15、帽盖层20、中间层22、经图案化的吸收体层25、和经图案化的氧化物层27。此外,黑色边缘图案57形成在氧化物层27、吸收体层25、中间层22、帽盖层20、和Mo/Si多层15中,并且背面导电层45形成在衬底10的背面上。在一些实施例中,经图案化的吸收体层25包括CrN层或富氮CrON或CrCON层,其中在一些实施例中氮含量在从约10原子%至约30原子%的范围内。
图5A、图5B和图5C示出了根据本公开的另一实施例的吸收体层的多层结构的横截面图。应当理解,可以在图2A-图3E所示工艺之前、之中和之后提供附加操作,并且对于该方法的另外的实施例,下面描述的操作中的一些可以被替换或消除。这些操作/工艺的顺序可以是可互换的。可以在以下实施例中采用针对前述实施例所解释的材料、配置、工艺和/或尺寸,并且可以省略其详细描述。图5A、图5B和图5C的实施例是针对图1D所示的掩模坯的,其中在吸收体层25上没有形成氧化物层。图5A示出了类似于图2F的在第一硬掩模层30被图案化之后的结构。对第一硬掩模层30的蚀刻在吸收体层25处基本停止。
然后,通过使用经图案化的第一硬掩模层和经图案化的第二硬掩模层来图案化(蚀刻)吸收体层25,如图5B所示。在一些实施例中,如图5B所示,第二硬掩模层32在吸收体层25的蚀刻期间被去除。在一些实施例中,当中间层22由与第一硬掩模层30相同或相似的材料制成时,蚀刻在中间层22处基本停止。然后,如图5C所示,第一硬掩模层30与中间层22的位于吸收体层25的开口图案的底部处的部分被一起去除。
图6示出了根据本公开的实施例的成品EUV光掩模的横截面图。在一些实施例中,如图6所示的具有电路图案42的EUV光掩模包括衬底10、由硅和钼的多个交替层组成的多层Mo/Si叠层15、帽盖层20、中间层22和经图案化的吸收体层25。此外,黑色边缘图案57形成在吸收体层25、中间层22、帽盖层20、和Mo/Si多层15中,并且背面导电层45形成在衬底10的背面上。在一些实施例中,经图案化的吸收体层25包括CrN层或富氮CrON或CrCON层,其中在一些实施例中氮含量在从约10原子%至约30原子%的范围内。
通常,Cr基材料(CrN、CrON或CrCON)具有高EUV吸收(消光)系数k。例如,CrN的k值为0.0387,高于TaBN的k值(0.031)和TaBO的k值(0.027)。因此,可以减小吸收体层的厚度(例如,从TaBN的70nm到CrN的46nm),这可以抑制经图案化的吸收体层的三维效应。然而,由于CrN层或富氮CrON或CrCON层的蚀刻速率低,因此难以蚀刻。因此,直接图案化CrN层可能导致不良的图案轮廓,从而影响EUV光刻的分辨率。在本实施例中,使用两个硬掩模层来图案化吸收体层,并且因为每个硬掩模层的厚度相对较薄(2-20nm),所以可以控制蚀刻图案的图案轮廓。因此,可以获得具有较高蚀刻速率和较高EUV吸收系数的良好图案轮廓。
图7示出了根据本公开的实施例的制造用于EUV光掩模的掩模坯的流程图。
在一些实施例中,在S701处,在衬底10之上形成多层堆叠15。然后,在S702处,在多层堆叠15上形成帽盖层20,并且在S703处,在帽盖层20上形成保护层22。接下来,在S704处,在保护层22上形成吸收体层25。随后,分别在S705处和S706处在吸收体层25上形成第一硬掩模层30和第二硬掩模层32。在一些实施例中,在形成吸收体层25之后并且在形成硬掩模层之前,通过氧化形成氧化物层27。在一些实施例中,当在形成吸收体层25之后在不破坏真空的情况下形成硬掩模层时,在吸收体层25的顶表面上没有形成氧化物层。
图8A示出了制造半导体器件的方法的流程,图8B、图8C、图8D和图8E示出了根据本公开实施例的制造半导体器件的方法的顺序制造操作。提供一种半导体衬底或其他合适的衬底,该衬底要被图案化以在其上形成集成电路。在一些实施例中,半导体衬底包括硅。替代地或附加地,半导体衬底包括锗、硅锗或其他合适的半导体材料,例如III-V族半导体材料。在图8A的S801中,在半导体衬底之上形成要图案化的目标层。在某些实施例中,目标层是半导体衬底。在一些实施例中,目标层包括诸如金属化层或多晶硅层之类的导电层,诸如氧化硅、氮化硅、SiON、SiOC、SiOCN、SiCN、氧化铪或氧化铝之类的电介质层,或者诸如外延形成的半导体层之类的半导体层。在一些实施例中,目标层形成在诸如隔离结构、晶体管或布线之类的底层结构之上。在图8A的S802处,在目标层之上形成光致抗蚀剂层,如图8B所示。在后续的光刻曝光工艺中,光致抗蚀剂层对来自曝光源的辐射敏感。在本实施例中,光致抗蚀剂层对在光刻曝光工艺中使用的EUV光敏感。可以通过旋涂或其他合适的技术在目标层之上形成光致抗蚀剂层。可以进一步烘烤涂覆的光致抗蚀剂层以驱除光致抗蚀剂层中的溶剂。在图8A的S803中,使用如上所述的EUV反射掩模对光致抗蚀剂层进行图案化,如图8B所示。对光致抗蚀剂层进行图案化包括利用使用EUV掩模的EUV曝光系统执行光刻曝光工艺。在曝光工艺中,在EUV掩模上定义的集成电路(IC)设计图案被成像到光致抗蚀剂层上,以在其上形成潜像(latent pattern)。对光致抗蚀剂层进行图案化还包括显影经曝光的光致抗蚀剂层,以形成具有一个或多个开口的图案化光致抗蚀剂层。在光致抗蚀剂层是正性光致抗蚀剂层的一个实施例中,光致抗蚀剂层的曝光部分在显影工艺中被去除。对光致抗蚀剂层进行图案化还可以包括其他工艺步骤,例如在不同阶段的各种烘烤步骤。例如,可以在光刻曝光工艺之后并且显影工艺之前,实施曝光后烘烤(PEB)工艺。
在图8A的S804中,利用经图案化的光致抗蚀剂层作为蚀刻掩模,图案化目标层,如图8D所示。在一些实施例中,对目标层进行图案化包括使用经图案化的光致抗蚀剂层作为蚀刻掩模,对目标层应用蚀刻工艺。在经图案化的光致抗蚀剂层的开口内暴露的目标层的部分被蚀刻,而其余的部分不被蚀刻。此外,经图案化的光致抗蚀剂层可以通过湿法剥离或等离子灰化来去除,如图8E所示。
在本实施例中,使用两个硬掩模层对吸收体层进行图案化,并且因为每个硬掩模层的厚度相对较薄(2-20nm),所以可以控制蚀刻图案的图案轮廓。因此,可以获得具有较高蚀刻速率和较高EUV吸收系数的良好图案轮廓。此外,因为CrN或富氮CrON或CrCON层具有较高的EUV吸收系数,所以可以减小吸收体层的厚度,这进而抑制了EUV光刻中的三维效应。
将理解的是,本文不一定已经讨论了所有优点,没有特定优点是所有实施例或示例都需要的,并且其他实施例或示例可以具有不同的优点。根据本申请的一个方面,一种反射掩模,包括:衬底、设置在衬底上的反射多层、设置在反射多层上的帽盖层、以及设置在帽盖层上的吸收体层。吸收体层包括CrN层、氮浓度为10原子%至30原子%的CrON层、或氮浓度为10原子%至30原子%的CrCON层。在前述和以下实施例中的一个或多个中,吸收体层的厚度在从20nm至50nm的范围内。在前述和以下实施例中的一个或多个中,吸收体层包括氮浓度为16原子%至40原子%的CrN层。在前述和以下实施例中的一个或多个中,CrN层包括Cr相和Cr2N相。在前述和以下实施例中的一个或多个中,CrN层由Cr2N相组成。在前述和以下实施例中的一个或多个中,CrN层包括Cr2N相和CrN相。在前述和以下实施例中的一个或多个中,反射掩模还包括设置在帽盖层上的中间层。在前述和以下实施例中的一个或多个中,中间层包括下列项中的至少一项:TaB、TaO、TaBO或TaBN、硅、硅基化合物、钌、或钌基化合物。在前述和以下实施例中的一个或多个中,中间层包括下列项中的至少一项:氧化钛(TiO2)、氧化锡(SnO)、氧化锌(ZnO)或硫化镉(CdS)。在前述和以下实施例的一个或多个中,在平面图中,吸收体层的外周的尺寸小于衬底的外周的尺寸。在前述和以下实施例的一个或多个中,在平面图中,吸收体层的外周的尺寸在从138mm×138mm至142mm×142mm的范围内,并且在平面图中,衬底的外周的尺寸在从148mm×148mm至152mm×152mm的范围内。
根据本公开的另一方面,一种反射掩模,包括:衬底、设置在衬底上的反射多层、设置在反射多层上的帽盖层、设置在帽盖层上的吸收体层、以及设置在吸收体层上的Cr氧化物层。吸收体层包括CrN层、氮浓度为10原子%至30原子%的CrON层、或氮浓度为10原子%至30原子%的CrCON层。在前述和以下实施例中的一个或多个中,Cr氧化物层包括Cr2O3或CrO2。在前述和以下实施例中的一个或多个中,Cr氧化物层的厚度在从1nm至3nm的范围内。在前述和以下实施例中的一个或多个中,反射掩模还包括:中间层,设置在帽盖层上。在前述和以下实施例中的一个或多个中,中间层包括下列项中的至少一项:TaB、TaO、TaBO、或TaBN。
根据本公开的另一方面,一种用于EUV掩模的反射掩模坯,包括:衬底、设置在衬底上的反射多层、设置在反射多层上的帽盖层、设置在帽盖层上的中间层、设置在中间层上的吸收体层、设置在吸收体层之上的第一硬掩模层、和设置在第一硬掩模层上的第二硬掩模层。在前述和以下实施例中的一个或多个中,第二硬掩模层由与第一硬掩模层的材料相比针对包括氯和氧的等离子体具有更高蚀刻速率的材料制成。在前述和以下实施例中的一个或多个中,第一硬掩模层由与第二硬掩模层的材料相比针对包括氟的等离子体具有更高的蚀刻速率的材料制成。在前述和以下实施例中的一个或多个中,吸收体层包括CrN层、氮浓度为10原子%至30原子%的CrON层、或氮浓度为10原子%至30原子%的CrCON层。
根据本公开的另一方面,在一种制造反射掩模的方法中,在掩模坯之上形成光致抗蚀剂层。掩模坯包括:衬底、在衬底上的反射多层、在反射多层上的帽盖层、在帽盖层上的中间层、在中间层上的吸收体层、在吸收体层之上的第一硬掩模层、和在第一硬掩模层上的第二硬掩模层。图案化光致抗蚀剂层,通过使用经图案化的光致抗蚀剂层来图案化第二硬掩模层,通过使用经图案化的第二硬掩模层来图案化第一硬掩模层,并且通过使用经图案化的第一硬掩模层和经图案化的第二硬掩模层来图案化吸收体层。在前述和以下实施例中的一个或多个中,在图案化第二硬掩模层时,采用使用含氯气体和含氧气体的第一等离子体干法蚀刻。在前述和以下实施例中的一个或多个中,第二硬掩模层由与第一硬掩模层的材料相比在等离子体干法蚀刻中具有更高蚀刻速率的材料制成。在前述和以下实施例中的一个或多个中,在图案化吸收体层时,采用使用含氯气体和含氧气体的第二等离子体干法蚀刻。在前述和以下实施例中的一个或多个中,在第二等离子体干法蚀刻期间去除经图案化的第二硬掩模层。在前述和以下实施例中的一个或多个中,在图案化第一硬掩模层时,采用使用含氟气体的第一等离子体干法蚀刻。在前述和以下实施例中的一个或多个中,第一硬掩模层由与第二硬掩模层的材料相比在等离子体干法蚀刻中具有更高蚀刻速率的材料制成。在前述实施例和以下实施例中的一个或多个中,在图案化吸收体层之后,通过使用利用含氟气体的第二等离子体干法蚀刻来图案化中间层。在前述和以下实施例中的一个或多个中,中间层由与第二硬掩模层的材料相比在第二等离子体干法蚀刻中具有更高蚀刻速率的材料制成。在前述和以下实施例中的一个或多个中,在第二等离子体干法蚀刻期间去除经图案化的第一硬掩模层。
根据本公开的另一方面,在一种制造反射掩模的方法中,在掩模坯之上形成光致抗蚀剂层。掩模坯包括:衬底、在衬底上的反射多层、在反射多层上的帽盖层、在帽盖层上的中间层、在中间层上的吸收体层、在吸收体层之上的第一硬掩模层、和在第一硬掩模层上的第二硬掩模层。图案化光致抗蚀剂层,通过使用经图案化的光致抗蚀剂层来图案化第二硬掩模层,通过使用经图案化的第二硬掩模层来图案化第一硬掩模层,通过使用经图案化的第一硬掩模层和经图案化的第二硬掩模层来图案化吸收体层,并且去除第一硬掩模层。第二硬掩模层和吸收体层包括Cr基化合物,并且第一硬掩模层和中间层包括Ta基化合物。在前述和以下实施例中的一个或多个中,第二硬掩模层由CrON或CrCON制成,并且吸收体层由CrN、氮浓度为10原子%至30原子%的CrON、或氮浓度为10原子%至30原子%的CrCON制成。在前述和以下实施例中的一个或多个中,第一硬掩模层由TaBO、Ta2O5、TaO2、TaO或Ta2O制成,并且中间层由TaBO、Ta2O5、TaO2、TaO或Ta2O制成。在前述和以下实施例中的一个或多个中,第二硬掩模层在图案化吸收体层期间被去除。在前述和以下实施例中的一个或多个中,在去除第一硬掩模层期间,中间层的一部分被图案化。
根据本公开的另一方面,在一种制造反射掩模的方法中,在掩模坯之上形成光致抗蚀剂层。掩模坯包括衬底、在衬底上的反射多层、在反射多层上的帽盖层、在帽盖层上的中间层、在中间层上的吸收体层、在吸收体层上的氧化物层、在氧化物层上的第一硬掩模层、和在第一硬掩模层上的第二硬掩模层。图案化光致抗蚀剂层,通过使用经图案化的光致抗蚀剂层来图案化第二硬掩模层,通过使用经图案化的第二硬掩模层来图案化第一硬掩模层,通过使用经图案化的第一硬掩模层和经图案化的第二硬掩模层来图案化氧化物层和吸收体层,并且图案化中间层。在前述和以下实施例中的一个或多个中,第二硬掩模层和吸收体层包括与第一硬掩模层的材料和中间层的材料相比,在使用含氯气体和含氧气体的等离子体干法蚀刻中具有更高蚀刻速率的材料。在前述和以下实施例中的一个或多个中,第一硬掩模层和第二硬掩模层中的每一者的厚度在从2nm至20nm的范围内。在前述和以下实施例中的一个或多个中,第二硬掩模层包括GaN、SiCO、或氧化钇。在前述和以下实施例中的一个或多个中,氧化物层包括Cr2O3或CrO2
前述内容概述了若干实施例或示例的特征,从而本领域技术人员可以更好地理解本公开的各个方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改其他工艺和结构的基础,以实现与这里引入的实施例或示例相同的目的和/或达到相同的优点。本领域技术人员还应当认识到,这些等同构造并不脱离本公开的精神和范围,并且它们可以在不脱离本公开的精神和范围的情况下进行各种改变、替代和变更。
示例1.一种反射掩模,包括:衬底;反射多层,设置在所述衬底上;帽盖层,设置在所述反射多层上;以及吸收体层,设置在所述帽盖层上,其中,所述吸收体层包括CrN层、氮浓度为10原子%至30原子%的CrON层、或氮浓度为10原子%至30原子%的CrCON层。
示例2.根据示例1所述的反射掩模,其中,所述吸收体层的厚度在从20nm至50nm的范围内。
示例3.根据示例1所述的反射掩模,其中,所述吸收体层包括氮浓度为16原子%至40原子%的CrN层。
示例4.根据示例3所述的反射掩模,其中,所述CrN层包括Cr相和Cr2N相。
示例5.根据示例3所述的反射掩模,其中,所述CrN层由Cr2N相组成。
示例6.根据示例3所述的反射掩模,其中,所述CrN层包括Cr2N相和CrN相。
示例7.根据示例1所述的反射掩模,还包括:中间层,设置在所述帽盖层上。
示例8.根据示例7所述的反射掩模,其中,所述中间层包括下列项中的至少一项:TaB、TaO、TaBO或TaBN、硅、硅基化合物、钌、或钌基化合物。
示例9.根据示例7所述的反射掩模,其中,所述中间层包括下列项中的至少一项:氧化钛(TiO2)、氧化锡(SnO)、氧化锌(ZnO)或硫化镉(CdS)。
示例10.根据示例1所述的反射掩模,其中,在平面图中,所述吸收体层的外周的尺寸小于所述衬底的外周的尺寸。
示例11.一种制造反射掩模的方法,所述方法包括:在掩模坯之上形成光致抗蚀剂层,所述掩模坯包括衬底、在所述衬底上的反射多层、在所述反射多层上的帽盖层、在所述帽盖层上的中间层、在所述中间层上的吸收体层、在所述吸收体层之上的第一硬掩模层、以及在所述第一硬掩模层上的第二硬掩模层;图案化所述光致抗蚀剂层;通过使用经图案化的光致抗蚀剂层,图案化所述第二硬掩模层;通过使用经图案化的第二硬掩模层,图案化所述第一硬掩模层;通过使用经图案化的第一硬掩模层和经图案化的第二硬掩模层,图案化所述吸收体层;以及去除所述第一层硬掩模层,其中,所述第二硬掩模层和所述吸收体层包括Cr基化合物,并且所述第一硬掩模层和所述中间层包括Ta基化合物。
示例12.根据示例11所述的方法,其中,所述第二硬掩模层由CrON或CrCON制成,并且所述吸收体层由CrN、氮浓度为10原子%至30原子%的CrON、或氮浓度为10原子%至30原子%的CrCON制成。
示例13.根据示例11所述的方法,其中,所述第一硬掩模层由TaBO、Ta2O5、TaO2、TaO或Ta2O制成,并且所述中间层由TaBO、Ta2O5、TaO2、TaO或Ta2O制成。
示例14.根据示例11所述的方法,其中,所述第二硬掩模层在图案化所述吸收体层期间被去除。
示例15.根据示例11所述的方法,其中,在去除所述第一硬掩模层期间,所述中间层的一部分被图案化。
示例16.一种制造反射掩模的方法,所述方法包括:在掩模坯之上形成光致抗蚀剂层,所述掩模坯包括衬底、在所述衬底上的反射多层、在所述反射多层上的帽盖层、在所述帽盖层上的中间层、在所述中间层上的吸收体层、在所述吸收体层上的氧化物层、在所述氧化物层上的第一硬掩模层、以及在所述第一硬掩模层上的第二硬掩模层;图案化所述光致抗蚀剂层;通过使用经图案化的光致抗蚀剂层,图案化所述第二硬掩模层;通过使用经图案化的第二硬掩模层,图案化所述第一硬掩模层;通过使用经图案化的第一硬掩模层和经图案化的第二硬掩模层,图案化所述氧化物层和所述吸收体层;以及图案化所述中间层。
示例17.根据示例16所述的方法,其中,所述第二硬掩模层和所述吸收体层包括与所述第一硬掩模层的材料和所述中间层的材料相比,在使用含氯气体和含氧气体的等离子体干法蚀刻中具有更高蚀刻速率的材料。
示例18.根据示例17所述的方法,其中,所述第一硬掩模层和所述第二硬掩模层中的每一者的厚度在从2nm至20nm的范围内。
示例19.根据示例17所述的方法,其中,所述第二硬掩模层包括GaN、SiCO、或氧化钇。
示例20.根据示例17所述的方法,其中,所述氧化物层包括Cr2O3或CrO2

Claims (10)

1.一种反射掩模,包括:
衬底;
反射多层,设置在所述衬底上;
帽盖层,设置在所述反射多层上;以及
吸收体层,设置在所述帽盖层上,
其中,所述吸收体层包括CrN层、氮浓度为10原子%至30原子%的CrON层、或氮浓度为10原子%至30原子%的CrCON层。
2.根据权利要求1所述的反射掩模,其中,所述吸收体层的厚度在从20nm至50nm的范围内。
3.根据权利要求1所述的反射掩模,其中,所述吸收体层包括氮浓度为16原子%至40原子%的CrN层。
4.根据权利要求3所述的反射掩模,其中,所述CrN层包括Cr相和Cr2N相。
5.根据权利要求3所述的反射掩模,其中,所述CrN层由Cr2N相组成。
6.根据权利要求3所述的反射掩模,其中,所述CrN层包括Cr2N相和CrN相。
7.根据权利要求1所述的反射掩模,还包括:中间层,设置在所述帽盖层上。
8.根据权利要求7所述的反射掩模,其中,所述中间层包括下列项中的至少一项:TaB、TaO、TaBO或TaBN、硅、硅基化合物、钌、或钌基化合物。
9.一种制造反射掩模的方法,所述方法包括:
在掩模坯之上形成光致抗蚀剂层,所述掩模坯包括衬底、在所述衬底上的反射多层、在所述反射多层上的帽盖层、在所述帽盖层上的中间层、在所述中间层上的吸收体层、在所述吸收体层之上的第一硬掩模层、以及在所述第一硬掩模层上的第二硬掩模层;
图案化所述光致抗蚀剂层;
通过使用经图案化的光致抗蚀剂层,图案化所述第二硬掩模层;
通过使用经图案化的第二硬掩模层,图案化所述第一硬掩模层;
通过使用经图案化的第一硬掩模层和经图案化的第二硬掩模层,图案化所述吸收体层;以及
去除所述第一层硬掩模层,
其中,所述第二硬掩模层和所述吸收体层包括Cr基化合物,并且所述第一硬掩模层和所述中间层包括Ta基化合物。
10.一种制造反射掩模的方法,所述方法包括:
在掩模坯之上形成光致抗蚀剂层,所述掩模坯包括衬底、在所述衬底上的反射多层、在所述反射多层上的帽盖层、在所述帽盖层上的中间层、在所述中间层上的吸收体层、在所述吸收体层上的氧化物层、在所述氧化物层上的第一硬掩模层、以及在所述第一硬掩模层上的第二硬掩模层;
图案化所述光致抗蚀剂层;
通过使用经图案化的光致抗蚀剂层,图案化所述第二硬掩模层;
通过使用经图案化的第二硬掩模层,图案化所述第一硬掩模层;
通过使用经图案化的第一硬掩模层和经图案化的第二硬掩模层,图案化所述氧化物层和所述吸收体层;以及
图案化所述中间层。
CN202110041748.6A 2020-06-29 2021-01-13 Euv光掩模及其制造方法 Pending CN113406854A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063045444P 2020-06-29 2020-06-29
US63/045,444 2020-06-29
US17/090,825 2020-11-05
US17/090,825 US11619875B2 (en) 2020-06-29 2020-11-05 EUV photo masks and manufacturing method thereof

Publications (1)

Publication Number Publication Date
CN113406854A true CN113406854A (zh) 2021-09-17

Family

ID=77675780

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110041748.6A Pending CN113406854A (zh) 2020-06-29 2021-01-13 Euv光掩模及其制造方法

Country Status (5)

Country Link
US (2) US11619875B2 (zh)
KR (1) KR102658585B1 (zh)
CN (1) CN113406854A (zh)
DE (1) DE102020129846A1 (zh)
TW (1) TWI768650B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023156717A (ja) * 2022-04-13 2023-10-25 信越化学工業株式会社 反射型フォトマスクブランク、反射型フォトマスクの製造方法、及び反射型フォトマスク

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080001023A (ko) 2006-06-29 2008-01-03 주식회사 에스앤에스텍 극자외선 반사형 블랭크 마스크와 포토마스크 및 그제조방법
KR101197250B1 (ko) 2010-04-23 2012-11-05 주식회사 에스앤에스텍 블랭크 마스크, 포토 마스크 및 그의 제조 방법
KR20140004101A (ko) * 2011-02-01 2014-01-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크
JP5921953B2 (ja) 2012-03-28 2016-05-24 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
KR102110845B1 (ko) 2012-07-31 2020-05-14 호야 가부시키가이샤 반사형 마스크 블랭크 및 그 제조방법, 반사형 마스크의 제조방법, 그리고 반도체 장치의 제조방법
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9310675B2 (en) 2013-03-15 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
JP6287099B2 (ja) 2013-05-31 2018-03-07 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
WO2015041023A1 (ja) 2013-09-18 2015-03-26 Hoya株式会社 反射型マスクブランク及びその製造方法、反射型マスク並びに半導体装置の製造方法
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9377693B2 (en) 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR20160002332A (ko) 2014-06-30 2016-01-07 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
JP6780550B2 (ja) 2017-03-10 2020-11-04 信越化学工業株式会社 フォトマスクブランク
JP2018180083A (ja) 2017-04-05 2018-11-15 信越化学工業株式会社 フォトマスクブランクの製造方法
WO2020175354A1 (ja) 2019-02-28 2020-09-03 Hoya株式会社 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
US11204545B2 (en) 2020-01-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof

Also Published As

Publication number Publication date
TW202201112A (zh) 2022-01-01
US20210405519A1 (en) 2021-12-30
TWI768650B (zh) 2022-06-21
KR20220001425A (ko) 2022-01-05
KR102658585B1 (ko) 2024-04-17
DE102020129846A1 (de) 2021-12-30
US11619875B2 (en) 2023-04-04
US20230251563A1 (en) 2023-08-10

Similar Documents

Publication Publication Date Title
US11886109B2 (en) EUV photo masks and manufacturing method thereof
US11592737B2 (en) EUV photo masks and manufacturing method thereof
US20230350283A1 (en) Euv photo masks and manufacturing method thereof
US20210333717A1 (en) Extreme ultraviolet mask and method of manufacturing the same
US20230251563A1 (en) Euv photo masks and manufacturing method thereof
US11829062B2 (en) EUV photo masks and manufacturing method thereof
TWI760057B (zh) 反射遮罩及其製造方法
US11506969B2 (en) EUV photo masks and manufacturing method thereof
US20230085685A1 (en) Euv photo masks and manufacturing method thereof
US20230032950A1 (en) Euv photo masks and manufacturing method thereof
US20230205072A1 (en) Euv photo masks and manufacturing method thereof
US20240053669A1 (en) Euv photo masks and manufacturing method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination