CN113257332A - 一种闪存的有效性预测方法、装置及存储介质 - Google Patents

一种闪存的有效性预测方法、装置及存储介质 Download PDF

Info

Publication number
CN113257332A
CN113257332A CN202110377729.0A CN202110377729A CN113257332A CN 113257332 A CN113257332 A CN 113257332A CN 202110377729 A CN202110377729 A CN 202110377729A CN 113257332 A CN113257332 A CN 113257332A
Authority
CN
China
Prior art keywords
flash memory
memory chip
determining
detection
characteristic quantity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110377729.0A
Other languages
English (en)
Other versions
CN113257332B (zh
Inventor
刘政林
潘玉茜
张浩明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Futurepath Technology Shenzhen Co ltd
Original Assignee
Futurepath Technology Shenzhen Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Futurepath Technology Shenzhen Co ltd filed Critical Futurepath Technology Shenzhen Co ltd
Priority to CN202110377729.0A priority Critical patent/CN113257332B/zh
Priority to PCT/CN2021/087139 priority patent/WO2022213410A1/zh
Publication of CN113257332A publication Critical patent/CN113257332A/zh
Application granted granted Critical
Publication of CN113257332B publication Critical patent/CN113257332B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/56External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor
    • G11C29/56016Apparatus features
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C29/50004Marginal testing, e.g. race, voltage or current testing of threshold voltage
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/56External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor
    • G11C29/56008Error analysis, representation of errors
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C2029/5004Voltage
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C2029/5006Current

Landscapes

  • Techniques For Improving Reliability Of Storages (AREA)
  • Read Only Memory (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Abstract

本申请公开了一种闪存的有效性预测方法、装置及存储介质,其中方法包括:基于预定的擦除次数间隔,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态。本申请通过以预定擦除次数间隔执行预测,并且通过采用多模型、多次预测的方式来获得若干初始检测结果,然后综合各初始检测结果来最终确定闪存芯片为有效状态或者为非有效状态,提高预测的准确度,有效降低因为闪存芯片数据失效导致的数据安全隐患。

Description

一种闪存的有效性预测方法、装置及存储介质
技术领域
本申请涉及存储器技术领域,尤其是涉及一种闪存的有效性预测方法、装置及存储介质。
背景技术
随着科学技术的高速发展,存储器作为数据存储的载体出现在了现代电子产品的各个角落,并成为电子系统中不可或缺的一部分。在存储器领域,闪存扮演着十分重要的角色。闪存经过数十年的技术更新,存储容量不断扩大,单位比特价格大幅减小,同时凭借着其超大的存储容量、更快的读写性能、更好的防磁抗震能力逐渐取代了磁质介质成为主流的非易失性存储器,在民用,工业,军工等各领域中均发挥着越来越重要的作用。闪存是一种非易失性存储器,在许多方面有其独特的优点,如:能长时间保存数据、数据传输速度快、存储容量较大等等。因此,闪存在通信、消费、工业控制、军事等领域出现的频率越来越高,也越来越受到重视。
由于闪存的信息存储是通过编程和擦除操作实现的,但重复的P-E(编程-擦除)操作会使得闪存的半导体器件的氧化层变薄以及使得阈值电压有所偏移,最终导致闪存器件损坏、数据丢失,最终导致闪存失效。因此需要对闪存进行有效性预测。
目前,在对闪存进行有效性预测时,通常是通过高频率的预测方式,来频繁的对闪存进行有效性预测,预测操作的次数过多、效率较低,且预测结果不够准确。
发明内容
本申请的实施例采用了如下技术方案:一种闪存的有效性预测方法、装置及存储介质,主要目的在于解决现有技术中预测闪存芯片得次数过多、预测效率低的问题是否有效的技术问题。
为解决上述问题,本申请提供一种闪存的有效性预测方法,包括:
基于预定的擦除次数间隔,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;
基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态。
可选的,在利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测之前,所述方法还包括:
获得与当前检测对应的闪存芯片的第一特征量、以及与前一次检测对应的闪存芯片的第二特征量;
判断所述第一特征量与所述第二特征量的差值是否大于预定特征量差值,以在所述第一特征量与所述第二特征量的差值大于预定特征量差值的情况下,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测。
可选的,所述方法还包括:
实时对所述闪存芯片进行误码率检测,获得所述闪存芯片的误码率;
基于所述误码率确定所述预定擦除次数间隔。
可选的,所述第一特征量包括如下一种或几种:
闪存芯片各闪存操作的时间、各闪存操作时的电流、芯片功耗、阈值电压分布及电压变化量、闪存块编号、闪存页编号、闪存所经历的编程/擦除周期数、闪存块中条件错误页数、条件错误块数、错误比特数和错误比特率;
所述第二特征量包括如下一种或几种:闪存芯片各闪存操作的时间、各闪存操作时的电流、芯片功耗、阈值电压分布及电压变化量、闪存块编号、闪存页编号、闪存所经历的编程/擦除周期数、闪存块中条件错误页数、条件错误块数、错误比特数和错误比特率。
可选的,所述初始检测结果包括用于表征闪存芯片可靠的第一结果以及表征闪存芯片非可靠的第二结果;
所述基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态,具体包括:
基于所述第一结果的数量确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态;
或者,基于所述第二结果的数量确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态;
或者,基于所述第一结果的数量和第二结果的数量的比值确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态。
可选的,所述实时对所述闪存芯片进行误码率检测,获得所述闪存芯片的误码率,具体包括:
通过闪存测试装置记录所述闪存芯片的当前编程-擦除周期数;
对所述闪存芯片执行擦除操作和编辑操作,并通过所述闪存测试装置采集所述闪存芯片执行编辑操作时的电流、功耗,以及所述待预测闪存芯片各个页面的编程时间和所述编程时间对应的存储块号和存储页号;
对所述待预测闪存芯片执行读取操作,并通过闪存测试装置采集所述闪存芯片执行读取操作时的阈值电压分布、电流、功耗以及所述待预测闪存芯片各个页面的读取时间;
将所述读取操作时读取的数据与所述编辑操作时写入的数据进行比较,得到所述待预测闪存芯片的误码率。
可选的,所述方法还包括:
建立误码率阈值与擦除次数间隔的对应关系,以基于检测获得的所述误码率和所述对应关系,确定所述预定擦除次数间隔。
可选的,在确定所述闪存芯片为非有效状态的情况下,所述方法还包括:按照预定方式输出提示信息。
为解决上述问题,本申请提供一种闪存芯片可靠性等级预测装置,包括:
可靠性等级检测模块:用于基于预定的擦除次数间隔,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;
失效判断模块,用于基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态。
为解决上述问题,本申请提供一种存储介质,所述存储介质存储有计算机程序,所述计算机程序被处理器执行时实现上述任一项闪存的有效性预测方法的步骤。
本申请中,通过以预定擦除次数间隔来执行预测,并且通过采用多模型、多次预测的方式来获得若干初始检测结果,然后综合各初始检测结果来最终确定闪存芯片为有效状态或者为非有效状态,提高预测的准确度,有效降低因为闪存芯片数据失效导致的数据安全隐患。
附图说明
图1为本申请实施例一种闪存的有效性预测方法的流程图;
图2为本申请又一实施例一种闪存的有效性预测方法的流程图;
图3为本申请又一实施例一种闪存的有效性预测方法的流程图;
图4为本申请另一实施例一种闪存的有效性预测方法的流程图;
图5为本申请另一实施例一种闪存的有效性预测装置的结构框图;
图6为本申请又一实施例一种闪存的有效性预测装置的结构框图;
图7为本申请实施例中预测判断模块的结构框图。
具体实施方式
此处参考附图描述本申请的各种方案以及特征。
应理解的是,可以对此处申请的实施例做出各种修改。因此,上述说明书不应该视为限制,而仅是作为实施例的范例。本领域的技术人员将想到在本申请的范围和精神内的其他修改。
包含在说明书中并构成说明书的一部分的附图示出了本申请的实施例,并且与上面给出的对本申请的大致描述以及下面给出的对实施例的详细描述一起用于解释本申请的原理。
通过下面参照附图对给定为非限制性实例的实施例的优选形式的描述,本申请的这些和其它特性将会变得显而易见。
还应当理解,尽管已经参照一些具体实例对本申请进行了描述,但本领域技术人员能够确定地实现本申请的很多其它等效形式。
当结合附图时,鉴于以下详细说明,本申请的上述和其他方面、特征和优势将变得更为显而易见。
此后参照附图描述本申请的具体实施例;然而,应当理解,所申请的实施例仅仅是本申请的实例,其可采用多种方式实施。熟知和/或重复的功能和结构并未详细描述以避免不必要或多余的细节使得本申请模糊不清。因此,本文所申请的具体的结构性和功能性细节并非意在限定,而是仅仅作为权利要求的基础和代表性基础用于教导本领域技术人员以实质上任意合适的详细结构多样地使用本申请。
本说明书可使用词组“在一种实施例中”、“在另一个实施例中”、“在又一实施例中”或“在其他实施例中”,其均可指代根据本申请的相同或不同实施例中的一个或多个。
本申请实施例提供一种闪存的有效性预测方法,具体应用于计算机等电子设备中。该预测方法可以适用于对所有存储器类型进行的有效性预测过程中。如图1所示,本实施例中的闪存有效性预测方法包括如下步骤:
步骤S101,基于预定的擦除次数间隔,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;
预定擦除次数间隔表示当前预测时闪存芯片经历过的擦除次数与上一次预测时闪存芯片经历的擦除次数的差值,其可以根据实际需要来设定,例如设定为30秒、5分、1小时等等,也可以根据闪存芯片的误码率来确定。本步骤中为了准确、及时的检测出闪存芯片是否有效,可以将擦除次数间隔设置的较小,由此来频繁的进行检测。并且为了提高检测率使最终的检测率能够达到100%,本步骤中可以采用任何一种检测模型,例如支持向量机模型、朴素贝叶斯模型、k近邻模型、决策树分模型、集成学习模型、线性判别模型、人工神经网络模型等等。检测模型的数量也可以采用若干个,例如3个、5个、10个、20个、100个等等,检测的次数也可以为若干次、比如5次、10次、100次、1000次等等,检测模型越多以及检测次数越多,获得的初始检测结果就会越多,从而后续对闪存芯片的有效性的判断就会更加准确。并且本步骤中的检测模型可以是基于任何一种算法来训练获得的,在此不做具体限定。
步骤S102,基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态。
本步骤中,初始检测结果包括用于表征闪存芯片可靠的第一结果以及表征闪存芯片非可靠的第二结果。在确定闪存芯片为有效状态还是非有效状态时,具体可以采用如下三种方式:
方式一,基于所述第一结果的数量确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态;例如当第一结果的数量大于预定值时则可以确定闪存芯片为有效状态。
方式二,基于所述第二结果的数量确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态;例如当第二结果的数量大于预定值时则可以确定闪存芯片为非有效状态。
方式三,基于所述第一结果的数量和第二结果的数量的比值确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态。当第一结果的数量与第二结果的比值大于一定值时,则确定闪存芯片为有效状态。
本实施例中,通过以预定擦除次数间隔来执行预测,并且通过采用多模型、多次预测的方式来获得若干初始检测结果,然后综合各初始检测结果来最终确定闪存芯片为有效状态或者为非有效状态,提高预测的准确度,有效降低因为闪存芯片数据失效导致的数据安全隐患。
进一步的,本申请又一实施例提供一种闪存的有效性预测方法,如图2所示,包括如下步骤:
步骤S201,基于预定擦除次数间隔对待检测的闪存芯片进行检测,获得与当前检测对应的闪存芯片的第一特征量、以及与前一次检测对应的闪存芯片的第二特征量;判断所述第一特征量与所述第二特征量的差值是否大于预定特征量差值;
本步骤中,预定擦除次数间隔表示当前预测时闪存芯片经历过的擦除次数与上一次预测时闪存芯片经历的擦除次数的差值,其可以根据实际需要来设定,也可以根据闪存芯片的误码率来确定。获得闪存芯片的特征量包括如下一种或几种:闪存芯片各闪存操作的时间、各闪存操作时的电流、芯片功耗、阈值电压分布及电压变化量、闪存块编号、闪存页编号、闪存所经历的编程/擦除周期数、闪存块中条件错误页数、条件错误块数、错误比特数和错误比特率。
步骤S202,在所述第一特征量与所述第二特征量的差值大于预定特征量差值的情况下,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;其中所述初始检测结果用于表征所述闪存芯片的可靠性;
本步骤中预定特征量差值可以根据特征量的类型来进行设定,例如当特征量为原始错误比特数时,预定特征量差值可以设定为50。可靠性等级包括以下结果中的一种或多种:待预测闪存芯片的当前错误比特数量等级、待预测闪存芯片的当前错误比特率等级、T_m次编程-擦除操作后待预测闪存芯片的错误比特数量等级、T_m次编程-擦除操作后待预测闪存芯片的错误比特率等级和待预测闪存芯片的剩余编程-擦除周期数量等级。其中T_m为大于0的正整。本步骤在具体实施过程中,可以先采集若干样本闪存芯片,然后对有效状态的样本闪存芯片和非有效状态的样本闪存芯片分别进行标记,最后利用标记后的样本训练模型,由此来获得若干检测模型。其中检测模型可以包括如下任意一种或几种:支持向量机模型、朴素贝叶斯模型、k近邻模型、决策树分模型、集成学习模型、线性判别模型、人工神经网络模型。
步骤S203,基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态。
本步骤当获得初始检测结果之后,就可以根据初始检测结果来确定最终的预测结果,即根据初始检测结果来预测当前闪存芯片为有效状态或者为非有效状态。
本申请中,通过以预定擦除次数间隔、同时结合特征量变化来确定是否进行后续的预测操作,能够有效减少系统运行期间执行预测操作的次数,提高了闪存有效性的预测效率。并且本申请中通过采用预定的模型来对闪存进行可靠性等级检测,然后进一步根据检测结果来确定闪存是否有效,提高预测的准确度,有效降低因为闪存芯片数据失效导致的数据安全隐患。
进一步的,为了更好的说明上述对闪存的有效性预测过程,作为对上述实施例的细化和扩展,本申请又一实施例提供了一种闪存的有效性预测方法,如图3所示,所述方法包括如下步骤:
步骤S301,实时对所述闪存芯片进行误码率检测,获得所述闪存芯片的误码率;基于所述误码率确定所述预定擦除次数间隔。
本步骤在具体实施过程中可以实时进行误码率检测也可以按照预定的时间间隔来进行误码率检测,例如以30分钟的时间间隔、或者以24小时的时间间隔等来进行误码率的检测,具体时间间隔可以根据实际需要进行调整,在此不做限定。其中误码率的检测可以采用如下方式:通过闪存测试装置记录所述闪存芯片的当前编程-擦除周期数;对所述闪存芯片执行擦除操作和编辑操作,并通过所述闪存测试装置采集所述闪存芯片执行编辑操作时的电流、功耗,以及所述待预测闪存芯片各个页面的编程时间和所述编程时间对应的存储块号和存储页号;对所述待预测闪存芯片执行读取操作,并通过闪存测试装置采集所述闪存芯片执行读取操作时的阈值电压分布、电流、功耗以及所述待预测闪存芯片各个页面的读取时间;将所述读取操作时读取的数据与所述编辑操作时写入的数据进行比较,得到所述待预测闪存芯片的误码率。
本步骤中,在获得误码率之后就可以根据预先建立的误码率与擦除次数间隔的对应关系来获得预定擦除次数间隔。例如预先建立的对应关系为:闪存芯片误码率小于或等于0.02%时,擦除次数间隔为1000;闪存芯片误码率大于0.02%并小于0.04%时,擦除次数间隔为500;闪存芯片误码率大于或等于0.04%时,擦除次数间隔为100。例如当检测获得闪存芯片的误码率为0.03%时,则可以确定擦除次数间隔为500;再如,当检测获得闪存芯片的误码率为0.05%时,则可以确定擦除次数间隔为100。
步骤S302,基于预定擦除次数间隔对待检测的闪存芯片进行检测,获得与当前检测对应的闪存芯片的第一特征量、以及与前一次检测对应的闪存芯片的第二特征量;判断所述第一特征量与所述第二特征量的差值是否大于预定特征量差值。
例如当确定闪存芯片的预定擦除次数间隔为500时,即闪存芯片每经历过500次擦除时,获取当前闪存芯片的第一特征量,以及上一次进行预测时闪存芯片的第二特征量,例如可以获取当前闪存芯片的第一错误比特数,上一次进行预测时闪存芯片的第二错误比特数,当然也可以获取闪存芯片各闪存操作的时间、各闪存操作时的电流、芯片功耗、阈值电压分布及电压变化量、闪存块编号、闪存页编号、闪存所经历的编程/擦除周期数、闪存块中条件错误页数、条件错误块数和/或错误比特率来作为特征量。
本步骤在具体实施过程中,也可以按照预定的时间间隔获取当前闪存芯片经历的擦除次数以及上一次预测时闪存芯片经历的擦除次数,然后确定这两个擦除次数是否大于或等于预定擦除次数间隔,若大于或等于预定的擦除次数间隔则可以进一步获取第一特征量和第二特征量。
步骤S303,在所述第一特征量与所述第二特征量的差值大于预定特征量差值的情况下,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;
本步骤中,当第一特征量和第二特征量为错误比特数时,则可以设定预定特征量差值为50,即将获得的第一错误比特数与第二错误比特数的差值与50进行比较;如果二者的差值大于50,则基于预定的检测模型对所述闪存芯片进行可靠性等级检测,获得初始检测结果。如果二者的差值小于或等于50,则可以停止后续的预测,待二者的差值大于50时再进行预测。再如,当第一特征量和第二特征量为错误率时,则可以设定预定特征量差值为5%,或者设定预定特征量差值为15%。再如当第一特征量和第二特征量为条件错误块数时,可以设定预定特征量差值为5。再如当第一特征量和第二特征量为各闪存操作的时间时,可以设定特征量差值为30秒、50秒或100秒等等。
本步骤中,在基于预定的检测模型对所述闪存芯片进行可靠性等级检测时,是基于预定个数的检测模型,来分别对所述闪存芯片进行预定次数的可靠性等级检测,以获得若干第一结果和若干第二结果。例如具体可以调用支持向量机模型、朴素贝叶斯模型、k近邻模型、决策树分模型、集成学习模型、线性判别模型、人工神经网络模型中的任意3个模型,每个模型的输出依次为1000次周期后是否出现数据失效,500次周期后是否出现数据失效,100次周期后是否出现数据失效,并且进行两次检测,由此获得18个初始检测结果,然后18个初始检测结果中闪存芯片为有效状态的结果为第一结果,闪存芯片为非有效状态的结果为第二结果。
步骤S204,基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态。
本步骤中,当获得初始结果后,例如获得18个初始检测结果,其中有17个为第一结果,有3个为第二结果,表征闪存芯片为非有效状态的第二初始检测结果的个数大于2,则确定闪存芯片为非有效状态;或者确定第二结果和第一结果的比值为大于10%来确定闪存芯片为非有效状态。
本实施例中在预测出闪存芯片为非有效状态时,为了能够使使用者及时的获知闪存芯片的状态,还可以按照预定的方式输出提示信息,比如以语音播报的方式输出提示信息或者以显示预定文字、图样的方式来输出提示信息。
本实施例中,通过以预定擦除次数间隔、并结合特征量变化来确定是否进行后续的预测操作,能够有效减少系统运行期间执行预测操作的次数,提高了闪存有效性的预测效率。与此同时,通过采用多次多模型的预测方式,降低了特征数据抖动对预测结果的影响,相比单次单个模型预测,可以有效的提高闪存可靠性等级预测模型的预测准确度。因此,本申请中的预测方法可以有效提高闪存芯片可靠性等级的预测准确度,进而准确的确定出闪存芯片是否即将失效,有效降低因为闪存芯片数据失效导致的数据安全隐患。
在上述实施例的基础上,为了做进一步解释,以下结合具体的应用场景进行说明。本实施例中,以某制造工艺下的3D多级单元NAND闪存(TLC NAND flash)产品作为预测对象,如图3所示,本实施例中的另一种闪存的有效性预测方法包括如下步骤:
步骤一、系统判断当前待预测闪存芯片经历的擦除次数P1与前一次预测时经历的擦除次数P2,判断P1和P2的差值是否等于预定擦除次数阈值Pth,如果等于执行步骤二,否则继续判断。
本实施例中,预定擦除次数阈值Pth具体是根据闪存芯片的误码率来确定的。当待预测闪存芯片误码率小于0.02%时,Pth值为1000;当待预测闪存芯片误码率大于等于0.02%并小于0.04%时,Pth值为500;当待预测闪存芯片误码率大于等于0.04%时,Pth值为100。
步骤二、判断当前闪存芯片特征量E1与前一次预测时的特征量E2间差值是否大于ΔE,若大于ΔE执行步骤三,否则返回步骤一。
本实施例中,特征量为错误比特数,ΔE的值为50。
步骤三、调用预测模型对待预测闪存芯片进行可靠性等级k次预测,记录预测结果,更新P2。
本实施例中,调用的预测模型个数为3,每个模型的输出依次为1000次周期后是否出现数据失效,500次周期后是否出现数据失效,100次周期后是否出现数据失效。出现数据失效模型输出值为1,即预测闪存芯片为非有效状态时输出值为1,否则为0。预测次数k的取值为2,分别对应当前周期P1及周期(P1+1)。
步骤四,逐次判断步骤三记录的预测结果是否符合条件A,记录符合条件A的预测结果个数m。
本实施例中,条件A为模型输出等于1。即条件A为数据失效(闪存芯片为非有效状态)的预测结果。
步骤五,判断m的值是否大于等于阈值Rth,若满足则认为闪存芯片即将出现数据失效,闪存芯片为非有效状态,发出预警信号。否则返回到步骤一。本实施例中,阈值Rth的取值为2。
本实施例中,通过以预定擦除次数间隔、并结合特征量变化来确定是否进行后续的预测操作,能够有效减少系统运行期间执行预测操作的次数,提高了闪存有效性的预测效率。与此同时,通过采用多次多模型的预测方式,降低了特征数据抖动对预测结果的影响,相比单次单个模型预测,可以有效的提高闪存可靠性等级预测模型的预测准确度。因此,本申请中的预测方法可以有效提高闪存芯片可靠性等级的预测准确度,进而准确的确定出闪存芯片是否即将失效,有效降低因为闪存芯片数据失效导致的数据安全隐患。
本申请又一实施例提供一种闪存的有效性预测装置,如图5所示,包括:
可靠性等级检测模块:用于基于预定的擦除次数间隔,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;
失效判断模块,用于基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态。
本实施例中,闪存芯片可靠性等级预测装置还包括:预测判断模块,用于基于预定擦除次数间隔对待检测的闪存芯片进行检测,获得与当前检测对应的闪存芯片的第一特征量、以及与前一次检测对应的闪存芯片的第二特征量;判断所述第一特征量与所述第二特征量的差值是否大于预定特征量差值;可靠性等级检测模块具体用于:在所述第一特征量与所述第二特征量的差值大于预定特征量差值的情况下,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;
具体的,本实施例中的闪存的有效性预测装置还包括擦除次数间隔确定模块,该擦除次数间隔确定模块用于:实时对所述闪存芯片进行误码率检测,获得所述闪存芯片的误码率;基于所述误码率确定所述预定擦除次数间隔。
本实施例中,所述第一特征量包括如下一种或几种:
闪存芯片各闪存操作的时间、各闪存操作时的电流、芯片功耗、阈值电压分布及电压变化量、闪存块编号、闪存页编号、闪存所经历的编程/擦除周期数、闪存块中条件错误页数、条件错误块数、错误比特数和错误比特率;
所述第二特征量包括如下一种或几种:闪存芯片各闪存操作的时间、各闪存操作时的电流、芯片功耗、阈值电压分布及电压变化量、闪存块编号、闪存页编号、闪存所经历的编程/擦除周期数、闪存块中条件错误页数、条件错误块数、错误比特数和错误比特率。
本实施例中,初始检测结果包括用于表征闪存芯片可靠的第一结果以及表征闪存芯片非可靠的第二结果;失效判断模块,具体用于:基于所述第一结果的数量确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态;
或者,基于所述第二结果的数量确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态;
或者,基于所述第一结果的数量和第二结果的数量的比值确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态。
本实施例中,擦除次数间隔确定模块具体用于:通过闪存测试装置记录所述闪存芯片的当前编程-擦除周期数;对所述闪存芯片执行擦除操作和编辑操作,并通过所述闪存测试装置采集所述闪存芯片执行编辑操作时的电流、功耗,以及所述待预测闪存芯片各个页面的编程时间和所述编程时间对应的存储块号和存储页号;对所述待预测闪存芯片执行读取操作,并通过闪存测试装置采集所述闪存芯片执行读取操作时的阈值电压分布、电流、功耗以及所述待预测闪存芯片各个页面的读取时间;将所述读取操作时读取的数据与所述编辑操作时写入的数据进行比较,得到所述待预测闪存芯片的误码率。
本实施例中的闪存的有效性预测装置还包括建立模块,该建立模块用于:建立误码率阈值与擦除次数间隔的对应关系,以基于检测获得的所述误码率和所述对应关系,确定所述预定擦除次数间隔。
本实施例中的闪存的有效性预测装置还包括提示模块,该提示模块用于:在确定所述闪存芯片为非有效状态的情况下,按照预定方式输出提示信息。比如按照语音播报的方式输出提示信息,或者按照文字、图样等方式来输出提示信息。预测请求数据
具体的,本实施例中的闪存有效性预测装置可以进一步如图6所示,该闪存有效性预测装置具体可以包括:失效判断模块,预测结果记录模块以及闪存控制器,其中闪存控制器中设置有预测判断模块。该预测判断模块用于:读取当前待预测闪存芯片经历的擦除次数P1与前一次预测时经历的擦除次数P2,计算P1与P2的差值并判断差值是否等于阈值Pth;若等于,判断当前芯片错误数与前一次采集错误数的差值是否大于预定预定特征量差值,例如是否大于50,若差值大于50则向系统发送调用预测请求,以利用可靠性等级检测模块基于预定的检测模型对所述闪存芯片进行可靠性等级检测。可靠性等级检测模块用于基于预测模型执行预测,并将预测结果发送给预测结果记录模块以进行存储。本实施例中预测模型为系统在闪存可靠性特征数据集上训练得到的二分类模型,模型输出为1代表可能出现数据失效,输出为0代表不出现数据失效。闪存可靠性特征数据集为:系统在擦/写/读闪存芯片期间采集到的操作时间、原始错误数及对应的擦除次数。失效判断模块用于从预测结果记录模块中读取预测结果,判断预测结果是否为1,并记录值为1的预测结果个数m;同时用于判断m的值是否大于等于2,若满足则判断闪存芯片为非有效状态,发送失效预警信号给提示模块,以进行提示。
具体的,本实施例中的预测判断模块的结构框图可以如图7所示,该预测判断模块包括:错误数采集模块、错误数记录模块和判断模块。在系统对闪存芯片进行写操作时,错误数采集模块用于缓存写入数据;当系统对闪存芯片进行读操作时,错误数采集模块用于将读出数据与缓存数据比对,计数器记录错误数。本实施例中,错误数采集模块将计数器值发送至错误数记录模块,错误数记录模块用于存储错误数。判断模块用于读取擦除次数P1与P2,当前原始错误数E1与前一次采集错误数E2,计算P1和P2、E1和E2之间的差值ΔP和ΔE,判断ΔP是否等于Pth,ΔE是否大于50,若满足模块向系统发送可靠性等级预测请求,以通过可靠性等级检测模块基于预定的检测模型对所述闪存芯片进行可靠性等级检测。
本实施例中的闪存有效性预测装置,通过以预定擦除次数间隔、并结合特征量变化来确定是否进行后续的预测操作,能够有效减少系统运行期间执行预测操作的次数,提高了闪存有效性的预测效率。与此同时,通过采用多次多模型的预测方式,降低了特征数据抖动对预测结果的影响,相比单次单个模型预测,可以有效的提高闪存可靠性等级预测模型的预测准确度。因此,本申请中的预测方法可以有效提高闪存芯片可靠性等级的预测准确度,进而准确的确定出闪存芯片是否即将失效,有效降低因为闪存芯片数据失效导致的数据安全隐患。
本申请又一实施例提供一种存储介质,所述存储介质存储有计算机程序,其特征在于,所述计算机程序被处理器执行时实现如下方法步骤:
步骤一、基于预定的擦除次数间隔,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;
步骤二、基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态。
本是实施例在具体实施过程中,上述方法步骤的具体实施过程可参见上述任意一个闪存的有效性预测方法的实施例,本实施例在此不再重复赘述。
本实施例中的存储介质,通过以预定擦除次数间隔、并结合特征量变化来确定是否进行后续的预测操作,能够有效减少系统运行期间执行预测操作的次数,提高了闪存有效性的预测效率。与此同时,通过采用多次多模型的预测方式,降低了特征数据抖动对预测结果的影响,相比单次单个模型预测,可以有效的提高闪存可靠性等级预测模型的预测准确度。因此,本申请中的预测方法可以有效提高闪存芯片可靠性等级的预测准确度,进而准确的确定出闪存芯片是否即将失效,有效降低因为闪存芯片数据失效导致的数据安全隐患。
以上实施例仅为本申请的示例性实施例,不用于限制本申请,本申请的保护范围由权利要求书限定。本领域技术人员可以在本申请的实质和保护范围内,对本申请做出各种修改或等同替换,这种修改或等同替换也应视为落在本申请的保护范围内。

Claims (10)

1.一种闪存的有效性预测方法,其特征在于,包括:
基于预定的擦除次数间隔,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;
基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态。
2.如权利要求1所述的方法,其特征在于,在利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测之前,所述方法还包括:
基于预定的擦除次数间隔对闪存芯片进行检测,获得与当前检测对应的闪存芯片的第一特征量、以及与前一次检测对应的闪存芯片的第二特征量;
判断所述第一特征量与所述第二特征量的差值是否大于预定特征量差值,以在所述第一特征量与所述第二特征量的差值大于预定特征量差值的情况下,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测。
3.如权利要求1所述的方法,其特征在于,所述方法还包括:
实时对所述闪存芯片进行误码率检测,获得所述闪存芯片的误码率;
基于所述误码率确定所述预定擦除次数间隔。
4.如权利要求1所述的方法,其特征在于,所述第一特征量包括如下一种或几种:
闪存芯片各闪存操作的时间、各闪存操作时的电流、芯片功耗、阈值电压分布及电压变化量、闪存块编号、闪存页编号、闪存所经历的编程/擦除周期数、闪存块中条件错误页数、条件错误块数、错误比特数和错误比特率;
所述第二特征量包括如下一种或几种:闪存芯片各闪存操作的时间、各闪存操作时的电流、芯片功耗、阈值电压分布及电压变化量、闪存块编号、闪存页编号、闪存所经历的编程/擦除周期数、闪存块中条件错误页数、条件错误块数、错误比特数和错误比特率。
5.如权利要求1所述的方法,其特征在于,所述初始检测结果包括用于表征闪存芯片可靠的第一结果以及表征闪存芯片非可靠的第二结果;
所述基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态,具体包括:
基于所述第一结果的数量确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态;
或者,基于所述第二结果的数量确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态;
或者,基于所述第一结果的数量和第二结果的数量的比值确定所述闪存芯片为有效状态或者确定所述闪存芯片为非有效状态。
6.如权利要求3所述的方法,其特征在于,所述实时对所述闪存芯片进行误码率检测,获得所述闪存芯片的误码率,具体包括:
通过闪存测试装置记录所述闪存芯片的当前编程-擦除周期数;
对所述闪存芯片执行擦除操作和编辑操作,并通过所述闪存测试装置采集所述闪存芯片执行编辑操作时的电流、功耗,以及所述待预测闪存芯片各个页面的编程时间和所述编程时间对应的存储块号和存储页号;
对所述待预测闪存芯片执行读取操作,并通过闪存测试装置采集所述闪存芯片执行读取操作时的阈值电压分布、电流、功耗以及所述待预测闪存芯片各个页面的读取时间;
将所述读取操作时读取的数据与所述编辑操作时写入的数据进行比较,得到所述待预测闪存芯片的误码率。
7.如权利要求3所述的方法,其特征在于,所述方法还包括:
建立误码率阈值与擦除次数间隔的对应关系,以基于检测获得的所述误码率和所述对应关系,确定所述预定擦除次数间隔。
8.如权利要求1所述的方法,其特征在于,在确定所述闪存芯片为非有效状态的情况下,所述方法还包括:按照预定方式输出提示信息。
9.一种闪存芯片可靠性等级预测装置,其特征在于,包括:
可靠性等级检测模块:用于基于预定的擦除次数间隔,利用若干检测模型对待检测的闪存芯片进行若干次可靠性等级检测,获得若干初始检测结果;
失效判断模块,用于基于各所述初始检测结果确定所述闪存芯片为有效状态或确定所述闪存芯片为非有效状态。
10.一种存储介质,所述存储介质存储有计算机程序,其特征在于,所述计算机程序被处理器执行时实现权利要求1至8中任一项所述的方法的步骤。
CN202110377729.0A 2021-04-08 2021-04-08 一种闪存的有效性预测方法、装置及存储介质 Active CN113257332B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202110377729.0A CN113257332B (zh) 2021-04-08 2021-04-08 一种闪存的有效性预测方法、装置及存储介质
PCT/CN2021/087139 WO2022213410A1 (zh) 2021-04-08 2021-04-14 一种闪存的有效性预测方法、装置及存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110377729.0A CN113257332B (zh) 2021-04-08 2021-04-08 一种闪存的有效性预测方法、装置及存储介质

Publications (2)

Publication Number Publication Date
CN113257332A true CN113257332A (zh) 2021-08-13
CN113257332B CN113257332B (zh) 2024-05-17

Family

ID=77220469

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110377729.0A Active CN113257332B (zh) 2021-04-08 2021-04-08 一种闪存的有效性预测方法、装置及存储介质

Country Status (2)

Country Link
CN (1) CN113257332B (zh)
WO (1) WO2022213410A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116302633A (zh) * 2023-01-18 2023-06-23 北京得瑞领新科技有限公司 闪存存储器的逻辑单元失效管理方法、装置、介质及设备
CN116453554A (zh) * 2023-06-15 2023-07-18 北京网智易通科技有限公司 存储介质检测方法、装置、电子设备和存储介质
CN117130822A (zh) * 2023-10-24 2023-11-28 杭州阿姆科技有限公司 一种用于预测nand闪存数据出错的方法及系统

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116386703B (zh) * 2023-03-15 2023-11-07 西南交通大学 多级nand闪存存储器的优化方法、装置、设备及介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130061101A1 (en) * 2011-09-02 2013-03-07 SMART Storage Systems, Inc. Non-volatile memory management system with load leveling and method of operation thereof
US20170293517A1 (en) * 2016-04-11 2017-10-12 Simmonds Precision Products, Inc. Physical component predicted remaining useful life
CN108369489A (zh) * 2016-01-20 2018-08-03 微软技术许可有限责任公司 预测固态驱动器可靠性
CN109634527A (zh) * 2018-12-12 2019-04-16 华中科技大学 一种ssd内实现的闪存寿命预测方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10079068B2 (en) * 2011-02-23 2018-09-18 Avago Technologies General Ip (Singapore) Pte. Ltd. Devices and method for wear estimation based memory management
CN108766496B (zh) * 2018-05-23 2020-10-16 武汉忆数存储技术有限公司 一种在线动态预测闪存芯片寿命的方法及装置
CN109830254A (zh) * 2018-12-17 2019-05-31 武汉忆数存储技术有限公司 一种闪存寿命预测方法、系统、存储介质

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130061101A1 (en) * 2011-09-02 2013-03-07 SMART Storage Systems, Inc. Non-volatile memory management system with load leveling and method of operation thereof
CN108369489A (zh) * 2016-01-20 2018-08-03 微软技术许可有限责任公司 预测固态驱动器可靠性
US20170293517A1 (en) * 2016-04-11 2017-10-12 Simmonds Precision Products, Inc. Physical component predicted remaining useful life
CN109634527A (zh) * 2018-12-12 2019-04-16 华中科技大学 一种ssd内实现的闪存寿命预测方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116302633A (zh) * 2023-01-18 2023-06-23 北京得瑞领新科技有限公司 闪存存储器的逻辑单元失效管理方法、装置、介质及设备
CN116302633B (zh) * 2023-01-18 2024-04-09 北京得瑞领新科技有限公司 闪存存储器的逻辑单元失效管理方法、装置、介质及设备
CN116453554A (zh) * 2023-06-15 2023-07-18 北京网智易通科技有限公司 存储介质检测方法、装置、电子设备和存储介质
CN116453554B (zh) * 2023-06-15 2023-08-15 北京网智易通科技有限公司 存储介质检测方法、装置、电子设备和存储介质
CN117130822A (zh) * 2023-10-24 2023-11-28 杭州阿姆科技有限公司 一种用于预测nand闪存数据出错的方法及系统

Also Published As

Publication number Publication date
CN113257332B (zh) 2024-05-17
WO2022213410A1 (zh) 2022-10-13

Similar Documents

Publication Publication Date Title
CN113257332B (zh) 一种闪存的有效性预测方法、装置及存储介质
CN109817267B (zh) 一种基于深度学习的闪存寿命预测方法、系统及计算机可读存取介质
CN101599303B (zh) 闪存的统计跟踪
US20120124273A1 (en) Estimating Wear of Non-Volatile, Solid State Memory
US9881682B1 (en) Fine grained data retention monitoring in solid state drives
US20220027083A1 (en) Regression Neural Network for Identifying Threshold Voltages to be Used in Reads of Flash Memory Devices
CN103187102B (zh) 半导体存储器测试方法和半导体存储器
CN114220470A (zh) 一种闪存颗粒筛选方法、终端及计算机可读存储介质
US10002079B2 (en) Method of predicting a datum to be preloaded into a cache memory
CN112466387B (zh) 一种nand flash芯片读写寿命的快速测试方法
CN111078123A (zh) 一种闪存块的磨损程度的评估方法及装置
KR20190052436A (ko) 반도체 메모리 장치 및 그 동작 방법
CN116230066A (zh) 一种实现质量等级划分的方法、计算机存储介质及终端
CN110837477B (zh) 一种基于寿命预测的存储系统损耗均衡方法及装置
CN112185453A (zh) 读干扰测试方法、装置、计算机可读存储介质及电子设备
CN112908391B (zh) 一种基于数学模型的闪存分类方法及装置
CN110879759A (zh) 控制器及其操作方法
CN112270945B (zh) 记录是否有擦除时掉电的方法、装置、存储介质和终端
CN115470052B (zh) 存储芯片的坏块检测方法、检测装置及存储介质
CN116775436A (zh) 芯片故障预测方法、装置、计算机设备和存储介质
EP4258266A1 (en) Test method for memory chip and device therefor
TWI769124B (zh) 一種應用于Flash智慧分析檢測的檢測方法、系統、智慧終端機以及電腦可讀儲存介質
CN110580932B (zh) 一种应用于损耗均衡的存储单元质量度量方法
CN113419682B (zh) 一种数据处理方法、装置和计算机闪存设备
CN113467724B (zh) 一种crc校验码存储方法、装置、设备及介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant