CN113168108A - 获得用于训练半导体制造过程的模型的训练数据的方法 - Google Patents

获得用于训练半导体制造过程的模型的训练数据的方法 Download PDF

Info

Publication number
CN113168108A
CN113168108A CN201980074980.0A CN201980074980A CN113168108A CN 113168108 A CN113168108 A CN 113168108A CN 201980074980 A CN201980074980 A CN 201980074980A CN 113168108 A CN113168108 A CN 113168108A
Authority
CN
China
Prior art keywords
data
manufacturing process
model
training
metrology
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980074980.0A
Other languages
English (en)
Inventor
R·沃克曼
L·M·弗盖杰-休泽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN113168108A publication Critical patent/CN113168108A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/02Knowledge representation; Symbolic representation
    • G06N5/022Knowledge engineering; Knowledge acquisition

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • Computational Linguistics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Medical Informatics (AREA)
  • Evolutionary Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Automation & Control Theory (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • General Factory Administration (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

公开了一种用于获得包括合成量测数据的训练数据集的方法,所述训练数据集被配置为用于训练与用于制造集成电路的制造过程有关的模型。该方法包括:获得行为特性数据,该行为特性数据描述由制造过程和/或相关工具或影响产生的过程参数的行为。附加地或可替代地,可以获得对由所述制造过程和/或类似制造过程形成的结构执行的量测数据。使用所述行为特性数据和/或量测数据,确定合成量测数据,该合成量测数据描述了所述制造过程的变化和/或相关工具或影响对所述过程参数的影响。使用包括所述合成量测数据的训练数据集训练模型。

Description

获得用于训练半导体制造过程的模型的训练数据的方法
相关申请的交叉引用
本申请要求于2018年11月14日提交的欧洲专利申请18206285.1的优先权,其全部内容通过引用并入本文。
技术领域
本发明涉及用于在光刻过程中向衬底施加图案和/或测量所述图案的方法和装置。
背景技术
光刻装置是一种被构造为将期望图案施加到衬底上(通常施加到衬底的目标部分上)的机器。例如,光刻装置可以用于集成电路(IC)的制造中。在该实例中,图案形成装置(其可替代地被称为掩模或掩模版)可以用于生成要形成在IC的个体层上的电路图案。这种图案可以传递到衬底(例如,硅晶片)上的目标部分(例如,包括一个或多个裸片的一部分)上。图案的传递通常经由在设置在衬底上的辐射敏感材料(抗蚀剂)层上进行成像来进行。一般而言,单个衬底包含被连续图案化的相邻目标部分的网络。已知光刻装置包括所谓的步进机,其中通过将整个图案一次曝光到每个目标部分上来辐射该目标部分;以及所谓的扫描器,其中通过辐射束沿给定方向(“扫描”方向)扫描图案,同时沿与该方向平行或反向平行的方向同步扫描衬底,来辐射每个目标部分。还可能通过将图案压印到衬底上来将图案从图案形成装置传递到衬底。
为了监测光刻过程,测量经图案化的衬底的参数。参数可以包括例如经图案化的衬底中或上形成的连续层之间的套刻误差以及经显影的光敏抗蚀剂的临界线宽(CD)。可以对产品衬底和/或专用量测目标执行该测量。存在各种技术用于测量在光刻过程中形成的微观结构,这些技术包括使用扫描电子显微镜和各种专用工具。快速无创形式的专用检查工具是一种散射仪,其中辐射束被引导到衬底表面上的目标上并且测量散射束或反射束的特性。两种主要类型的散射仪是已知的。光谱散射仪将宽带辐射束引导到衬底上,并且测量散射到特定窄角度范围内的辐射的光谱(强度作为波长的函数)。角分辨散射仪使用单色辐射束,并且测量作为角度的函数的散射辐射的强度。
已知散射仪的示例包括US2006033921A1和US2010201963A1中描述的类型的角分辨散射仪。这种散射仪所使用的目标为相对较大(例如,40μm×40μm)的光栅,并且测量光束生成的斑点小于光栅(即,光栅欠填充)。除了通过重构测量特征形状之外,还可以使用这种装置来测量基于衍射的套刻,如所公开的专利申请US2006066855A1中所描述的。使用衍射级的暗场成像的基于衍射的套刻量测使得能够对较小目标进行套刻测量。可以在国际专利申请WO 2009/078708和WO 2009/106279中找到暗场成像量测的示例,这些文献的全部内容在此通过引用并入。在所公开的专利出版物US20110027704A、US20110043791A、US2011102753A1、US20120044470A、US20120123581A、US20130258310A、US20130271740A和WO2013178422A1中描述了该技术的其他发展。这些目标可以小于照射斑点,并且可能被晶片上的产品结构包围。可以使用复合光栅目标在一个图像中测量多个光栅。所有这些申请的内容也通过引用并入本文。
在执行半导体制造过程(诸如在衬底上施加图案或测量这种图案)时,使用过程控制方法来监测并控制过程。通常基于控制策略来执行这样的过程控制技术,以便基于量测努力来获得对半导体制造过程的校正。通过增加量测努力,原则上(在极限之内)可以实现更好的校正和控制。然而,量测需要时间,因此会影响制造过程的吞吐量、生产率和盈利性。
期望提供用于训练用于对制造过程提出建议的模型的更多训练数据。
发明内容
在本发明的第一方面中,提供了一种用于获得包括合成量测数据的训练数据集的方法,所述训练数据集被配置为用于训练与用于制造集成电路的制造过程有关的模型,该方法包括:获得行为特性数据,该行为特性数据描述由制造过程和/或相关工具或影响产生的过程参数的行为;以及根据所述行为特性数据确定所述合成量测数据,所述合成量测数据描述所述制造过程的变化和/或相关工具的影响对所述过程参数的影响;以及使用所述训练数据集训练所述模型,所述训练数据集包括所述合成量测数据。
在本发明的第二方面中,提供了一种计算机程序,该计算机程序包括程序指令,该程序指令可操作为当在合适装置上运行时,执行第一方面的方法。
下文参考附图对本发明的其他方面、特征和优点以及本发明的各种实施例的结构和操作进行更详细的描述。应当指出,本发明不限于本文中所描述的特定实施例。这样的实施例在本文中提出仅是出于说明性目的。基于本文中所包含的教导,其他实施例对相关领域的技术人员将是显而易见的。
附图说明
现在,参考附图通过示例对本发明的实施例进行描述,其中
图1描绘了光刻装置以及形成半导体器件生产设施的其他装置;
图2是描述了用于选择最佳控制策略的自动化方法的流程图;以及
图3是描述生成用于训练用于图2的模型的合成训练数据的方法的流程图。
具体实施方式
在详细描述本发明的实施例之前,有指导意义的是呈现本发明的实施例可以被实现的示例环境。
图1以100示出了作为实现大规模光刻制造过程的工业生产设施的一部分的光刻装置LA。在本示例中,制造过程适于在诸如半导体晶片之类的衬底上制造半导体产品(集成电路)。技术人员应当领会,在该过程的变型中,广泛多种产品可以通过处理不同类型的衬底来制造。半导体产品的生产被单纯地用作示例,这在当今具有重要的商业意义。
在光刻装置(或简称为“光刻工具”100)内,以102示出了测量站MEA以及以104示出了曝光站EXP。以106示出了控制单元LACU。在该示例中,每个衬底访问测量站和曝光站以具有所施加的图案。例如,在光学光刻装置中,投影系统用于使用经调节的辐射和投影系统将产品图案从图案形成装置MA传递到衬底上。这通过在辐射敏感抗蚀剂材料层中形成图案的图像来完成。
本文中所使用的术语“投影系统”应当广义地解释为涵盖任何类型的投影系统,这些投影系统视正在使用的曝光辐射或其他因素(诸如使用浸没液体或使用真空)的情况而定,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统、或其任何组合。图案形成MA装置可以是掩模或掩模版,其将图案赋予由图案形成装置透射或反射的辐射束。众所周知的操作模式包括步进模式和扫描模式。众所周知,投影系统可以以多种方式与用于衬底和图案形成装置的支撑和定位系统协作,以将期望图案施加到跨衬底的许多目标部分。可以使用可编程图案形成装置来代替具有固定图案的掩模版。例如,辐射可以包括深紫外(DUV)或极紫外(EUV)波段中的电磁辐射。本公开还可适用于其他类型的光刻过程,例如,压印光刻和直接写入光刻,例如,通过电子束。
光刻装置控制单元LACU控制各种致动器和传感器的所有移动和测量,以接收衬底W和掩模版MA并且执行图案化操作。LACU还包括信号处理和数据处理能力,以实现与装置的操作有关的期望计算。实践中,控制单元LACU将被实现为具有许多子单元的系统,每个子单元对装置内的子系统或部件的实时数据采集、处理和控制进行处置。
在图案施加到曝光站EXP处的衬底之前,在测量站MEA处对衬底进行处理,以使可以执行各种准备步骤。该准备步骤可以包括:使用水平传感器来映射衬底的表面高度;以及使用对准传感器来测量衬底上的对准标记的位置。对准标记名义上以规则网格图案排列。然而,由于产生标记时缺乏精度以及由于衬底在其整个处理过程中发生的变形,所以标记偏离了理想网格。因此,除了测量衬底的位置和定向之外,如果装置要在非常高的精度下在正确位置处打印产品特征,则对准传感器实际上还必须详细测量跨衬底区域的许多标记的位置。该装置可以是所谓的双台型,其具有两个衬底台,每个衬底台具有由控制单元LACU控制的定位系统。当一个衬底台上的一个衬底正在曝光站EXP处曝光时,另一衬底可以在测量站MEA处装载到另一衬底台上,以使可以进行各种准备步骤。因此,测量对准标记非常耗时,并且提供两个衬底台使得能够大大提高装置的吞吐量。如果位置传感器IF不能在位于测量站和曝光站时无法测量衬底台的位置,则可以提供第二位置传感器,以使得能够在两个站处跟踪衬底台的位置。光刻装置LA可以例如是所谓的双台型,其具有两个衬底台和两个站(曝光站和测量站),衬底台可以在这两个站之间交换。
在生产设施内,装置100形成“光刻单元”或“光刻簇”的一部分,该“光刻单元”或“光刻簇”还包含涂覆装置108,该涂覆装置108用于将感光抗蚀剂和其他涂层施加到衬底W,用于通过装置100进行图案化。在装置100的输出侧处提供烘烤装置110和显影装置112,用于将经曝光图案显影为物理抗蚀剂图案。在所有这些装置之间,衬底处置系统负责支撑衬底并且将它们从一个设备传递到另一设备。通常被统称为轨道的这些装置处于轨道控制单元的控制之下,该轨道控制单元本身由监控系统SCS控制,该监控系统还经由光刻装置控制单元LACU控制光刻装置。因此,可以操作不同装置以使吞吐量和处理效率最高。监控系统SCS接收方案信息R,该方案信息R非常详细地提供了被执行为创建每个经图案化衬底的步骤的定义。
一旦在光刻单元中施加并显影了图案,经图案化衬底120就被传递到其他处理装置,诸如以122、124、126图示的处理装置。在典型制造设施中,许多各种不同的处理步骤由各种装置执行。仅为举例,该实施例中的装置122为蚀刻站,并且装置124执行蚀刻后退火步骤。其他物理和/或化学处理步骤适用于其他装置126等。制作真实设备可能需要进行多种类型的操作,诸如沉积材料、表面材料特征修饰(氧化、掺杂、离子注入等)、化学机械抛光(CMP)等。实际上,装置126可以表示在一个或多个装置中执行的一系列不同的处理步骤。作为另一示例,可以提供装置和处理步骤以实现自对准的多个图案化,以基于光刻装置所铺置的前体图案来产生多个较小特征。
众所周知,半导体器件的制造包括这种处理的许多重复,以在衬底上逐层构建具有适当材料和图案的器件结构。因而,到达光刻簇的衬底130可以是新准备的衬底,或它们可以是先前全部已经在该簇或另一装置中处理过的衬底。同样,取决于期望处理,在离开装置126上的衬底132可以返回以在同一光刻簇中进行后续图案化操作,它们的目的可能是在不同簇中进行图案化操作,或它们可以是要发送用于切块和封装的成品。
产品结构的每个层都需要一组不同的过程步骤,并且每个层处使用的装置126的类型可以完全不同。进一步地,即使在装置126要应用的处理步骤名义上相同的情况下,在大型设施中,也可能会有数个假定相同的机器并行工作以在不同衬底上执行步骤126。这些机器之间的设置或故障之间的小差别可能意味着它们以不同方式影响不同衬底。甚至对于每个层而言相对通用的步骤(诸如蚀刻(装置122))也可以由名义上相同但并行工作以使吞吐量最大的几个蚀刻装置来实现。而且,实际上,根据要蚀刻的材料的细节,不同层需要不同的蚀刻过程(例如,化学蚀刻、等离子蚀刻),以及特殊要求,诸如例如,各向异性蚀刻。
如刚刚所提及的,先前过程和/或后续过程可以在其他光刻装置中执行,并且甚至可以在不同类型的光刻装置中执行。例如,与要求较低的其他层相比较,可以在更先进的光刻工具中执行在器件制造过程中对诸如分辨率和套刻之类的参数要求非常高的一些层。因此,一些层可能会在浸没型光刻工具中曝光,而其他层可能会在‘干燥’工具中曝光。一些层可能会在以DUV波长工作的工具中曝光,而其他层则使用EUV波长辐射曝光。
为了正确且一致地曝光光刻装置所曝光的衬底,期望检查经曝光衬底以测量诸如后续层之间的套刻误差、线厚度、临界尺寸(CD)等之类的特性。因而,光刻单元LC所位于的制造设施还包括量测系统,该量测系统接收已经在光刻单元中处理过的衬底W中的一些或全部衬底。量测结果直接或间接提供给监控系统SCS。如果检测到误差,则可以对后续衬底的曝光进行调整,尤其是在量测可以一会儿且快速地完成以致于同一批的其他衬底仍在待曝光的情况下。此外,已经曝光的衬底可以被剥离并重新加工以提高产量,或被丢弃,从而避免对已知有缺陷的衬底执行其他处理。在仅衬底的一些目标部分有缺陷的情况下,仅可以对那些良好的目标部分执行进一步的曝光。
图1还示出了一种量测装置140,提供该量测装置140用于在制造过程中的期望阶段对产品的参数进行测量。现代光刻生产设施中量测站的常见示例是散射仪,例如,暗场散射仪、角分辨散射仪或光谱散射仪,并且它可以用于在装置122中蚀刻之前,测量经显影衬底120的特性。例如,使用量测装置140可以确定诸如套刻或临界尺寸(CD)之类的重要性能参数没有满足经显影抗蚀剂中的指定精度要求。在蚀刻步骤之前,存在剥离经显影抗蚀剂并且通过光刻簇对衬底120进行重新处理的机会。来自装置140的量测结果142可以用于在光刻簇中通过监控系统SCS和/或控制单元LACU 106维持对图案化操作的精确执行,从而随时间的流逝而做出小的调整,由此使制作出超规格并且需要重新加工的产品的风险最小。
附加地,量测装置140和/或其他量测装置(未示出)可以应用于测量经处理衬底132、134和送入衬底130的特性。量测装置可以用在经处理衬底上以确定诸如套刻或CD之类的重要参数。
在任何实际成像期间或之前,处理参数可能具有扰动,这些扰动使得它们偏离规格(例如,在过程窗口(即,处理参数空间)之外,在该过程窗口下,将会产生合规图案),从而可能导致缺陷。例如,焦点可能由于要曝光衬底的形貌、衬底台中的漂移、投影光学器件的变形等而发生改变;剂量可以由于源强度、停留时间等的漂移而发生改变。各种技术可以用于标识受到干扰的处理参数并且校正该处理参数。例如,如果例如由于略微高出衬底其余部分的衬底的区域正在被曝光而使得焦点受到扰动,则可以移动或倾斜衬底台以补偿该扰动。
对制造(例如,光刻)过程的控制通常基于反馈或前馈的测量,然后使用例如场间(跨衬底指纹)模型和/或场内(跨场指纹)模型进行建模。视情况而定,该建模还可以扩展为包括裸片内模型(跨裸片模型)。在裸片内,可能存在单独功能区域,诸如存储器区域、逻辑区域、接触区域等。每个不同的功能区域或不同的功能区类型可能具有不同的过程窗口,每个过程窗口具有不同的过程窗口中心。例如,不同的功能区域类型可能具有不同的高度,因此具有不同的最佳焦点设置。此外,不同的功能区域类型可以具有不同的结构复杂性,并且因此具有每个最佳焦点周围的不同焦点容差(焦点过程窗口)。
其他制造过程还可以使用用以实现校正的合适模型的这种方式来控制。例如,这些校正可以包括对蚀刻过程的校正、掩模版校正、对轨道系统的校正、对与用于执行所述半导体制造过程的一个或多个装置相关联的瞬态模型的校正。
对光刻装置的控制可以通过对相关参数的校正轮廓(例如,控制轮廓)进行建模来实现(或针对多于一个参数而共同优化)。每个参数的经建模校正轮廓被馈入到光刻装置中,该光刻装置致动期望校正轮廓以控制光刻过程(曝光)。该控制可以基于前馈模型(例如,根据在曝光之前在光刻装置内测量的数据)。扫描仪本身具有自我校正,该自我校正需要在曝光期间通过扫描仪致动。这些自我校正包括例如诸如掩模版加热和晶片加热之类的前馈模型、诸如晶片台形状和布局相关校正之类的机器校准。
焦点控制是基于针对每个衬底而收集的大量调平数据的主要前馈控制回路的示例,这些大量调平数据用于确定对该衬底上的曝光的校正,而这些校正对表面形貌进行了校正。其他校正基于反馈控制回路。除了刚刚提及的主要前馈控制之外,焦点控制还可以具有基于根据经曝光结构对焦点的测量的反馈元件。套刻控制通常基于反馈回路;基于对来自经处理衬底的套刻的测量。剂量控制除了平均剂量外没有其他前馈控制,并且通常经由按每个场(例如,分别在扫描方向和狭缝方向上)所确定的校正轮廓根据曝光后(例如,蚀刻后)测量在反馈回路中进行控制。
所有这些校正源输入到光刻装置中,该光刻装置组合所有每次曝光的校正并且致动它们,以优化套刻、焦点、剂量和成像性能。存在用于光刻过程的若干个方法以致动校正轮廓,例如,用于控制焦点/剂量和/或套刻。本质上表现为滤波器的算法将校正变换为台和镜头/反射镜的设定点。设定点被定义为时间相关轨迹,该时间相关轨迹例如定义掩模版台和/或晶片台在曝光期间相对于彼此的倾斜。通过相应移动,致动器将指纹成像到衬底上。这样的方法和其他方法对于本领域技术人员而言是显而易见的,不再进行进一步讨论。
上文所描述的所有控制/校正方法具有相关成本,具体在量测努力方面具有相关成本。典型过程的控制或校正程度是在所需质量与量测努力之间取得平衡。通常,提高质量需要更好校正,这继而又需要增加量测努力。然而,为了使制造过程能够在商业上可接受,需要满足一定生产率水平或吞吐量目标,并且增加量测努力将趋于降低生产率/吞吐量。当前,没有工具可以向用户提供对过程控制的可用选项(例如,就产量、套刻或其他参数而言)的详细了解。具体地,目前尚没有一种工具来基于与控制选项相关联的预期改进,来从若干个可能控制选项中标识出哪个控制选项最合适,并且把诸如量测成本、购买成本等之类的方面考虑在内。确定最适合特定过程需求的控制策略的指南是建立半导体制造过程期间的重要步骤。
如此,提出基于以下各项来评估不同过程控制策略和/或标识优选的过程控制策略:
·过程数据:这可能包括历史数据和/或设计数据,该历史数据和/或设计数据表征半导体制造过程(通常用于将一系列层施加到诸如晶片之类的衬底)。过程数据的示例可以包括以下各项中的一项或多项:
-布局数据(例如,设计数据或掩模版数据),该布局数据描述特定层的布局。这可以包括裸片内的布局(例如,裸片内不同功能区域的位置和尺寸)。
-过程规格度量,诸如过程窗口,该过程规格度量定义了最低质量标准,诸如与过程相关的任何参数(例如,焦点/剂量/套刻/边缘放置/产量)的最大可允许值/最小可允许值(规格极限);视情况而定,可以依据场、裸片、衬底区域和/或功能区域来定义过程窗口。如此,与其他结构相比,裸片内的关键结构或功能区域可能具有更为严格的与它们相关联的过程窗口。过程规格度量还可以包括任何参数的目标设置(例如,最佳焦点设置)。同样地,可以依据所描述的区域/功能区域中的任何区域/功能区域来设置这些度量。
-过程情境,诸如:哪些可用光刻装置、蚀刻装置、沉积装置和所提及的装置的腔室被使用,和/或这些装置的任何设置、控制选项设置、传感器读数、产品定义、情境粒度。
·要评估的候选过程控制策略及其实施所需的任何相关参数设置;这些都可以被输入或根据过程数据确定。
·质量度量预测数据。例如,这可以包括候选控制策略和/或适合于预测质量度量数据的任何其他控制策略(例如,参考策略)的特点的知识,诸如控制策略当应用于所述过程数据所表征的过程时如何影响性能。这可能基于:
o与过程有关(例如,基于量测或先前产量确定)的历史质量度量数据,和/或
o与过程有关的经建模/模拟质量度量数据。
·每个候选控制策略和/或任何其他控制策略(例如,参考策略)的相关成本度量数据。成本度量示例包括:
-所需的量测努力。这可以包括实现某种控制策略应当执行的测量的密度和/或频次的度量;例如,使用的采样方案。还可以定义所需的量测类型。所需的量测类型可以是指测量技术或测量参数。参数可以包括以下各项中的一项或多项:套刻量测、焦点量测、CD(关键尺寸)/SWA(侧壁角度)量测。量测类型可能包括:
o基于散射的量测,例如:
·基于暗场衍射的套刻/聚焦技术(非对称技术),
·基于重构的技术(例如,来自光瞳图像),
o扫描电子显微术
o内联(inline)量测与离线量测(例如,内联量测的需求可能被归因于更高的成本)
o光刻装置(扫描仪)量测:
·对准量测,和/或
·调平量测
-实现每个候选控制策略所需的其他间接开销;例如,所需硬件和软件以及与其相关联的任何相关成本(例如,软件许可成本、硬件成本)。
候选过程控制策略可以和与制造过程有关的任何参数的设置和/或校正有关。这些参数可以包括制造过程中(直接或间接)涉及的任何装置的(可变)参数,诸如光刻装置设置(例如,焦点设置、剂量设置、台定位设置点)、蚀刻装置设置、沉积装置设置、跟踪装置设置、掩模版制造和/或掩模版光刻设置等。候选过程控制策略还可以与控制接口的特点有关。
候选过程控制策略可以与所使用的模型有关,例如,建模策略的类型,例如,任何建模策略的次序(模型度)(例如,一阶/高阶),无论建模是按堆、堆内、场间、场内、裸片间、裸片内,以及用于模拟制造过程中的任一制造过程(例如,用于确定校正)的任何其他相关模型细节。
图2是描述了用于选择最佳控制策略的纯示例性自动化方法的流程图。在通过引用而并入本文的欧洲专利申请EP18197856.0中对该方法进行更详细的描述。基于对(与某个输入数据集相关联的)模拟产品性能和所需量测努力(例如,吞吐量影响)的评估,该方法选择并定制可用控制策略,并且评估每个控制策略的质量。这使用人工智能(AI)技术(诸如深度学习技术(例如,使用神经网络)或贝叶斯网络技术)完成。结果是可以使上文所描述的控制策略选择方法更具实用性(尤其是对于评估大量控制策略而言),并且与用户无关(例如,用户特定建议结果)。
在EP18197856.0中,前述过程数据、成本度量数据和质量度量数据用于训练AI网络(例如,神经网络或贝叶斯网络)以在考虑成本度量数据的情况下将过程数据与质量度量数据相关联。可以模拟或测量质量度量数据,并且该质量度量数据可以与任何一个或多个合适质量度量(例如,套刻、边缘放置误差(EPE)、关键尺寸(CD)、CD均匀性(CDU)或产量)有关(例如,包括其值)。
参考图2,训练数据200(其可以是验证数据240的子集)被馈送到神经网络205(或其他合适AI网络)中。训练数据包括过程数据(其可以包括已经提供的示例中的任何示例)和相关质量度量数据。例如,训练数据可以包括控制策略以及与每个控制策略相关联的结果质量度量值。训练数据还可以包括成本度量数据,例如,每个控制策略的相关成本。然而,这并非必不可少,并且成本度量可以通过模拟(例如,误差函数215)来考虑,例如,作为边界条件或约束。神经网络205可以以“空白”未经训练的网络开始,或否则可以已经根据其他训练数据(例如,模拟数据)在校准阶段中进行了训练。随着时间的流逝,神经网络205将学习哪些控制策略是更好的评估候选,并且更有可能导致可接受的性能(根据质量度量)和可接受的成本(根据成本度量)。
模拟阶段开始使过程数据与质量度量数据相关。这样的方法可以基于将过程数据与质量度量数据关联的贝叶斯网络或机器学习方法(例如,深度学习)的建模。模拟阶段可以开始于神经网络205输出候选控制策略210。模拟步骤220包括:基于候选控制策略210来评估误差函数215。误差函数215可以基于候选控制策略210来对质量度量数据的误差(残差)进行建模。该误差例如可以是训练数据的平均(经模拟)质量度量值。如已经提及的,可以使用成本度量数据来对误差函数215进行边界条件调节。在步骤225处,评估误差(例如,将其与阈值进行比较;例如,非屈服裸片(non-yielding die)的数目或误差小于等于0),以确定候选控制策略210是否能够接受。如果候选控制策略210不能接受,则这被反馈到神经网络205中,并且进一步评估候选控制策略210。当误差被评估为能够接受时,将输出经优化控制策略235。
控制策略优化方法可以包括使用较大数据集(即,验证数据240)的验证步骤245,以便针对验证数据验证经优化控制策略235。验证步骤245可以简单地使用大量验证数据240重复对经优化控制策略235的模拟步骤220(即,评估误差函数215)。可选地,验证步骤还可以基于经优化控制策略235来输出经预测质量度量值250(例如,经预测套刻或EPE)。
图2所描述的方法的一个问题在于,为了适当训练AI网络,需要大量训练数据集。由于各种原因(例如,所需的量测努力和/或机密性问题),所以很难获得足够的训练数据。特别地,可用于训练模型的训练数据集通常不足以代表实际处理因素,诸如偏移、漂移、指纹和/或一个或多个处理参数的噪声。
如此,现在对用于修改被配置为用于模型训练的训练数据集的方法进行描述。该方法可以包括:获得第一数据集,该第一数据集包括与半导体制造过程相关联的情境和/或度量数据;以及通过基于半导体制造过程的特点而引入可变性来修改第一数据集,以获得所述训练数据集。可替代地,没有使用第一数据集,并且训练数据包括基于半导体制造过程的特点的完全合成数据。以这种方式,获得训练数据集,该训练数据集是合成数据集或混合(半合成)数据集。
这种方法可以用于根据较小数据集生成适当训练系统所需的足够数据。合成数据可以基于已知和/或预期发生的某些行为特性来根据初始测量数据生成。然后,训练数据集可以是混合数据集,该混合数据集包括合成数据以及从中生成合成数据的初始测量数据两者。在不具有可用的任何实际量测数据的情况下生成完全合成数据(即,仅根据已知行为特性生成)也在本公开的范围之内。
行为特性可以基于工程知识(已知为可能并且适当响应已知的已知情况)以及现有客户数据集。以这种方式,系统能够基于有限的可用数据集给出初步控制建议。行为特性可以包括例如以下各项中的一项或多项:
·空间指纹(包括场间指纹、场内指纹和/或其他指纹的空间参数分布),其可以由任何工具或影响(例如,由处理、夹持、透镜像差、蚀刻、沉积、焦点/剂量、掩模版加热或其他加热影响等产生的指纹)施加;
·任何参数的时间噪声/漂移;
·情境或情境粒度,例如,衬底已经受制于的蚀刻室、光刻台和/或沉积站或其他处理历史,以及这些的可能组合;
·不同类型的数据之间和/或相似过程之间的关系;
·系统应当对其做出响应的预测事件(例如,度量数据中的干扰事件和/或偏移),作出响应例如通过主动实施响应改变或依据期望训练结果决定忽略事件来进行;
·边界条件,诸如性能规格、测量预算、可用控制选项、以及任何控制设置,然而,任何边界条件都可以替代地由模拟(例如,误差函数215)施加。
使用已知行为特性和任何实际量测结果中的一个或两个,可以产生合成数据。合成数据可以例如基于随时间(例如,随附加衬底或堆)的行为特性的外推和/或内插。例如,合成数据可以描述参数如何在未经测量的时间段内(例如,针对经建模衬底或堆)随时间发生变化。通过特定示例,如果参数的量测数据仅可用于少数堆(或根本没有堆可用),但是该参数的行为已知,例如,作为时间、衬底数目或堆数目的函数,则参数值可以针对所述少数堆之间的堆进行内插和/或针对更多堆(例如,通过回归或类似建模技术)进行外推(例如,外推远得多至测量堆之间的附加堆和/或未来堆)。还可以仅基于可用量测数据执行回归,其中据此推断其行为特性。这样,例如,可以根据来自少量堆(或根本没有)的真实量测数据生成描述大量堆上的一个或多个参数的合成量测数据。
生成合成数据的其他方法也是可能的,例如,通过根据一种类型的数据生成另一种类型的数据。例如,如果已知显影后(蚀刻前)和蚀刻后所测量的参数之间的关系,则可以根据显影后参数值生成蚀刻后参数值,反之亦然。此外,如果已知噪声的影响、任何其他影响(例如,加热或镜头像差)或任何干扰事件,则可以推断/评估这些影响/事件中的任意影响/事件的变化对参数的影响,以便生成合成数据。因此,例如,可以生成用于不同噪声水平的合成量测数据,也可以生成描述相对于所执行的实际测量的、受制于不同水平的掩模版加热或不同的透镜像差影响的参数的合成量测数据。
还可以基于稀疏测量来在空间上生成附加数据。例如,在仅衬底上的几个点的度量数据可用的情况下,可以计算衬底上的其他位置的附加度量数据。
还可以考虑不同情境。例如,在已知每个光刻阶段、蚀刻腔室和/或任何其他处理站的过程指纹的情况下,则可以基于与可能组合的小得多的子集有关的一个或几个堆的测量或根本不基于实际测量来生成这些指纹的任何组合的组合指纹(及其影响)。
产生合成量测数据的另一方式可以是基于与感兴趣应用不同的其他应用相关联的特点(指纹/漂移),但这是由于感兴趣应用与其他应用之间的共性所导致的,可以假定特点行为的共性。例如,特定过程或层的合成数据可以从另一过程或层的度量数据中导出,这归因于处理或层(例如,所共享的处理站/情境)之间的已知共性。
合成量测数据引入了可以用于更快训练模型(例如,神经网络)的可变性/行为,使得该模型提前针对代表感兴趣过程的实际发生的过程变化而被训练。
一旦训练并使用了AI系统,它就可以继续学习,同时接收实际量测数据(例如,在生产期间生成的数据)。然后,它将从可能尚未在训练数据中预期的其他特定行为中学习。该附加量测数据将使得系统能够在需要时对其输出进行更好的微调。因为合成数据可能不会涵盖在实际生产条件下发生的所有行为,所以这可能是有利的。
图3是描述这种方法的流程图。过程300的已知行为特性和(可选地)量测数据305用于生成合成数据310以获得训练数据315(训练数据315还可以包括实际量测数据300)。生成步骤310可以使用用于生成本文中所公开的合成训练数据的方法中的任何方法。模型训练步骤320可以使用训练数据315训练诸如神经网络之类的模型,以获得经训练模型325。
在步骤330处,经训练模型用于提供控制建议335,如已经所描述的。这可以包括特定用例(例如,特定产品和层组合)的建议控制策略(或若干个排名策略)。这可以包括:对可以优选哪个控制部件(例如,特定软件和/或硬件工具)和/或每个控制部件的设置提出建议。该步骤还可以包括:预测光刻过程的结果并且对其提出建议。在步骤340处,可以收集反馈数据345。反馈数据可以特定于控制用例(例如,依据特定产品和层组合),并且包括来自衬底的、受制于经训练模型所确定的控制策略以及所选择的对应控制策略的量测信息。反馈数据345可以包括任何其他相关信息(例如,关于和/或描述正在被控制/建议的过程的结构和/或堆叠)。该反馈数据345可以反馈给模型325,该模型325可以评估并学习以自我改进(例如,基于对应量测来确定特定控制策略的有效性)。在以下编号条款列表中公开了本发明的其他实施例:
1.一种用于获得包括合成量测数据的训练数据集的方法,所述训练数据集被配置为用于训练与用于制造集成电路的制造过程有关的模型,该方法包括:
获得行为特性数据,该行为特性数据描述由制造过程和/或相关工具或影响产生的过程参数的行为,和/或获得对由所述制造过程和/或相似制造过程形成的结构执行的量测数据;
根据所述行为特性数据和/或量测数据确定所述合成量测数据,所述合成量测数据描述所述制造过程的变化和/或相关工具或影响对所述过程参数的影响;以及
使用所述训练数据集训练所述模型,所述训练数据集包括所述合成量测数据。
2.根据条款1所述的方法,其中模型基于神经网络。
3.根据条款1或2所述的方法,其中行为特性数据包括与以下各项中的一项或多项有关的数据:由任何工具或影响施加的空间参数分布、任何参数的时间噪声/漂移、情境或情境粒度、不同数据类型之间和/或相似过程之间的关系、以及与系统应当对其做出响应的预测事件。
4.根据任一前述条款所述的方法,其中所述合成量测数据从所述行为特性数据和所述量测数据两者来确定。
5.根据条款4所述的方法,其中所述训练数据集包括所述合成量测数据和所述量测数据两者。
6.根据任一前述条款所述的方法,其中确定所述合成量测数据包括:随着时间对行为特性进行内插和/或外推以获得经外推的过程参数值。
7.根据任一前述条款所述的方法,其中确定所述合成量测数据包括:将一种类型的量测数据转换为另一类型的量测数据。
8.根据任一前述条款所述的方法,其中确定合成量测数据基于与感兴趣应用不同的一个或多个应用相关联的行为特性数据和/或量测数据,其中假设这是由于一个或多个应用与感兴趣应用之间的特点行为的共性所导致的。
9.根据任一前述条款所述的方法,其中所述合成量测数据针对制造过程的处理站的不同组合而生成。
10.根据任一前述条款所述的方法,其中在使用模型来对制造过程、与制造过程有关的量测设备的方面提出建议期间,并且来自模型的对应建议作为反馈数据被反馈以进一步训练模型。
11.根据条款10所述的方法,其中所述反馈数据还包括与在所述制造过程中形成的实际结构或堆叠有关的数据。
12.根据任一前述条款所述的方法,其中模型被配置为确定用于控制制造过程的优选控制策略。
13.根据任一前述条款所述的方法,还提供与优选控制策略相关联的控制方案。
14.根据任一前述条款所述的方法,其中模型被配置为基于与制造过程有关的过程数据来评估多个候选控制策略。
15.根据条款14所述的方法,其中评估步骤通过使质量度量的误差函数最小来实现。
16.根据条款14或15所述的方法,其中模型被配置为基于成本度量数据来评估多个候选控制策略,所述成本度量数据包括用于所述候选控制策略的相关成本度量。
17.根据任一前述条款所述的方法,还提供与优选控制策略相关联的控制方案。
18.一种计算机程序,包括程序指令,该程序指令当在合适装置上运行时,可操作为执行根据任何前述条款所述的方法。
19.一种非暂态计算机程序载体,包括根据条款18所述的计算机程序。
尽管已经描述了形式为物理掩模版的图案形成装置,但是本申请中的术语“图案形成装置”还包括例如要与可编程图案形成装置结合使用的传送数字形式的图案的数据产品。
尽管上文可能已经在光学光刻术的背景下具体参考了本发明的实施例的使用,但是应当领会,本发明可以用于其他应用中,例如,压印光刻术,并且在情境允许的情况下,并不局限于光学光刻术。在压印光刻术中,图案形成装置中的形貌限定了在衬底上创建的图案。图案形成装置的形貌可以压入被供应给衬底的抗蚀剂层中,在其上通过施加电磁辐射、热、压力或其组合来使抗蚀剂固化。在抗蚀剂固化之后,图案形成装置从抗蚀剂中移走,并且在抗蚀剂中留下图案。
关于光刻装置所使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,包括紫外线(UV)辐射(例如,具有约为365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外(EUV)辐射(例如,具有5nm至20nm范围内的波长)、以及粒子束(例如,离子束或电子束)。
在情境允许的情况下,术语“透镜”可以是指各种类型的光学部件中的任何一种或组合,这些光学部件包括折射型、反射型、磁性型、电磁型、以及静电型光学部件。
对特定实施例的前述描述将如此充分地揭示本发明的一般性质,以至于在不脱离本发明的一般构思的情况下,其他人可以通过应用本领域技术人员的知识而容易地针对各种应用修改和/或调整这种特定实施例,而无需过多实验。因此,基于本文中所提出的教导和指导,这样的调整和修改旨在落入所公开的实施例的等同物的含义和范围之内。应当理解,本文中的术语或措词的目的是为了举例描述而并非限制,使得本说明书的术语或措辞将由本领域技术人员根据教导和指导进行解释。
本发明的广度和范围不应由上文所描述的示例性实施例的任意示例性实施例限制,而应仅根据所附权利要求及其等同物来限定。

Claims (14)

1.一种用于获得包括合成量测数据的训练数据集的方法,所述训练数据集被配置为用于训练与用于制造集成电路的制造过程有关的模型,所述方法包括:
获得行为特性数据,所述行为特性数据描述从所述制造过程和/或相关工具或影响产生的过程参数的行为;
根据所述行为特性数据确定所述合成量测数据,所述合成量测数据描述所述制造过程的变化和/或相关工具或影响对所述过程参数的影响;以及
使用所述训练数据集训练所述模型,所述训练数据集包括所述合成量测数据。
2.根据权利要求1所述的方法,其中所述模型基于神经网络。
3.根据权利要求1或2所述的方法,其中行为特性数据包括与以下各项中的一项或多项有关的数据:由任何工具或影响所施加的空间参数分布、任何参数的时间噪声/漂移、情境或情境粒度、不同数据类型之间和/或相似过程之间的关系、以及系统应当对其做出响应的预测事件。
4.根据权利要求1所述的方法,其中确定所述合成量测数据包括:随着时间对所述行为特性进行内插和/或外推以获得经外推的过程参数值。
5.根据权利要求1所述的方法,其中确定所述合成量测数据基于与感兴趣应用不同的一个或多个应用相关联的行为特性数据,其中假定这是由所述一个或多个应用与所述感兴趣应用之间的特点行为的共性所导致的。
6.根据权利要求1所述的方法,其中所述合成量测数据针对所述制造过程的处理站的不同组合而生成。
7.根据权利要求1所述的方法,其中在使用所述模型对所述制造过程、与所述制造过程有关的量测设备的方面提出建议期间,并且来自所述模型的对应建议作为反馈数据被反馈以进一步训练所述模型。
8.根据权利要求1所述的方法,其中所述模型被配置为确定用于控制所述制造过程的优选控制策略。
9.根据权利要求1所述的方法,还提供与所述优选控制策略相关联的控制方案。
10.根据权利要求1所述的方法,其中所述模型被配置为基于与所述制造过程有关的过程数据来评估多个候选控制策略。
11.根据权利要求10所述的方法,其中所述评估步骤通过使质量度量的误差函数最小来实现。
12.根据权利要求10或11所述的方法,其中所述模型被配置为基于成本度量数据来评估所述多个候选控制策略,所述成本度量数据包括用于所述候选控制策略的相关成本度量。
13.一种计算机程序,包括程序指令,所述程序指令当在合适装置上运行时,能够操作以执行根据权利要求1所述的方法。
14.一种非暂态计算机程序载体,包括根据权利要求13所述的计算机程序。
CN201980074980.0A 2018-11-14 2019-09-26 获得用于训练半导体制造过程的模型的训练数据的方法 Pending CN113168108A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18206285.1 2018-11-14
EP18206285.1A EP3654103A1 (en) 2018-11-14 2018-11-14 Method for obtaining training data for training a model of a semicondcutor manufacturing process
PCT/EP2019/076072 WO2020099011A1 (en) 2018-11-14 2019-09-26 Method for obtaining training data for training a model of a semiconductor manufacturing process

Publications (1)

Publication Number Publication Date
CN113168108A true CN113168108A (zh) 2021-07-23

Family

ID=64316423

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980074980.0A Pending CN113168108A (zh) 2018-11-14 2019-09-26 获得用于训练半导体制造过程的模型的训练数据的方法

Country Status (6)

Country Link
US (1) US20210405544A1 (zh)
EP (1) EP3654103A1 (zh)
KR (1) KR102555174B1 (zh)
CN (1) CN113168108A (zh)
TW (2) TWI767529B (zh)
WO (1) WO2020099011A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210278827A1 (en) * 2020-03-09 2021-09-09 Board Of Trustees Of Michigan State University Systems And Method For Dimensionally Aware Rule Extraction
US20210398831A1 (en) * 2020-06-22 2021-12-23 Lavorro, Inc. Automated Assistance in a Semiconductor Manufacturing Environment
EP3944020A1 (en) * 2020-07-20 2022-01-26 ASML Netherlands B.V. Method for adjusting a patterning process
JP2023533491A (ja) * 2020-07-09 2023-08-03 エーエスエムエル ネザーランズ ビー.ブイ. パターニングプロセスの調整方法
US11532525B2 (en) * 2021-03-03 2022-12-20 Applied Materials, Inc. Controlling concentration profiles for deposited films using machine learning
EP4113210A1 (en) * 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
US20230128610A1 (en) * 2021-10-25 2023-04-27 Kla Corporation Continuous Machine Learning Model Training for Semiconductor Manufacturing
WO2023117238A1 (en) * 2021-12-20 2023-06-29 Carl Zeiss Smt Gmbh Measurement method and apparatus for semiconductor features with increased throughput
EP4231096A1 (en) * 2022-02-21 2023-08-23 ASML Netherlands B.V. Methods of metrology
WO2023156143A1 (en) * 2022-02-21 2023-08-24 Asml Netherlands B.V. Methods of metrology
US20230281439A1 (en) * 2022-03-07 2023-09-07 Applied Materials, Inc. Synthetic time series data associated with processing equipment
US20230359172A1 (en) * 2022-05-03 2023-11-09 Nanya Technology Corporation Method for controlling etching tool
EP4357854A1 (en) 2022-10-20 2024-04-24 ASML Netherlands B.V. Method of predicting a parameter of interest in a semiconductor manufacturing process

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004031875A1 (en) * 2002-09-30 2004-04-15 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US20090157577A1 (en) * 2007-12-18 2009-06-18 Siddharth Chauhan Method and apparatus for optimizing models for extracting dose and focus from critical dimension
US20160117812A1 (en) * 2014-10-28 2016-04-28 Kla-Tencor Corporation Image Based Signal Response Metrology
WO2017108453A1 (en) * 2015-12-24 2017-06-29 Asml Netherlands B.V. Methods of controlling a patterning process, device manufacturing method, control system for a lithographic apparatus and lithographic apparatus
US20170357155A1 (en) * 2016-06-09 2017-12-14 Asml Netherlands B.V. Metrology Methods, Metrology Apparatus and Device Manufacturing Method
EP3382606A1 (en) * 2017-03-27 2018-10-03 ASML Netherlands B.V. Optimizing an apparatus for multi-stage processing of product units

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005106656A2 (en) 2004-04-16 2005-11-10 Fortelligent, Inc. Predictive modeling
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7373215B2 (en) * 2006-08-31 2008-05-13 Advanced Micro Devices, Inc. Transistor gate shape metrology using multiple data sources
US7949490B2 (en) * 2007-08-30 2011-05-24 Tokyo Electron Limited Determining profile parameters of a structure using approximation and fine diffraction models in optical metrology
US8149384B2 (en) * 2007-12-17 2012-04-03 Advanced Micro Devices, Inc. Method and apparatus for extracting dose and focus from critical dimension data
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
KR101492205B1 (ko) 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
WO2013178422A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
US10152654B2 (en) * 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US10430719B2 (en) * 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
US10811323B2 (en) * 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US20180196349A1 (en) * 2017-01-08 2018-07-12 Mentor Graphics Corporation Lithography Model Calibration Via Genetic Algorithms with Adaptive Deterministic Crowding and Dynamic Niching

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004031875A1 (en) * 2002-09-30 2004-04-15 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US20090157577A1 (en) * 2007-12-18 2009-06-18 Siddharth Chauhan Method and apparatus for optimizing models for extracting dose and focus from critical dimension
US20160117812A1 (en) * 2014-10-28 2016-04-28 Kla-Tencor Corporation Image Based Signal Response Metrology
WO2017108453A1 (en) * 2015-12-24 2017-06-29 Asml Netherlands B.V. Methods of controlling a patterning process, device manufacturing method, control system for a lithographic apparatus and lithographic apparatus
US20170357155A1 (en) * 2016-06-09 2017-12-14 Asml Netherlands B.V. Metrology Methods, Metrology Apparatus and Device Manufacturing Method
EP3382606A1 (en) * 2017-03-27 2018-10-03 ASML Netherlands B.V. Optimizing an apparatus for multi-stage processing of product units

Also Published As

Publication number Publication date
KR20210075156A (ko) 2021-06-22
TW202036168A (zh) 2020-10-01
WO2020099011A1 (en) 2020-05-22
EP3654103A1 (en) 2020-05-20
TW202119138A (zh) 2021-05-16
KR102555174B1 (ko) 2023-07-12
US20210405544A1 (en) 2021-12-30
TWI767529B (zh) 2022-06-11
TWI720654B (zh) 2021-03-01

Similar Documents

Publication Publication Date Title
TWI767529B (zh) 獲得用於訓練半導體製程模型的訓練資料之方法
KR102336390B1 (ko) 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화
JP6792572B2 (ja) リソグラフィ方法およびリソグラフィ装置
KR102087310B1 (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
CN110168446B (zh) 光刻过程和设备以及检测过程和设备
TW201719785A (zh) 減少非線性行為效應之方法與裝置
US11372338B2 (en) Method for evaluating control strategies in a semiconductor manufacturing process
TWI623811B (zh) 校正圖案化製程誤差之方法、電腦程式產品與系統
TW201725443A (zh) 校正圖案化製程誤差之方法與裝置
KR20180072760A (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
US20210397152A1 (en) Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
TWI731641B (zh) 微影製程之子場控制及相關聯裝置
US11187994B2 (en) Method for controlling a manufacturing process and associated apparatuses
US20220244649A1 (en) Sub-field control of a lithographic process and associated apparatus
EP3767391A1 (en) Sub-field control of a lithographic process and associated apparatus
EP3734366A1 (en) Sub-field control of a lithographic process and associated apparatus
US20240061353A1 (en) Method for determining a focus actuation profile for one or more actuators of a lithographic exposure apparatus
EP3547030A1 (en) Method for evaluating control strategies in a semicondcutor manufacturing process
EP3945367A1 (en) Method for controlling a manufacturing process and associated apparatuses
CN114114850B (zh) 光刻过程和设备以及检测过程和设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination