TWI731641B - 微影製程之子場控制及相關聯裝置 - Google Patents

微影製程之子場控制及相關聯裝置 Download PDF

Info

Publication number
TWI731641B
TWI731641B TW109111136A TW109111136A TWI731641B TW I731641 B TWI731641 B TW I731641B TW 109111136 A TW109111136 A TW 109111136A TW 109111136 A TW109111136 A TW 109111136A TW I731641 B TWI731641 B TW I731641B
Authority
TW
Taiwan
Prior art keywords
component
spatial distribution
control
correction
distribution
Prior art date
Application number
TW109111136A
Other languages
English (en)
Other versions
TW202105055A (zh
Inventor
普特拉 賽普特拉
彼得 吉拉德斯 雅各 絲莫恩伯格
哈里德 埃爾巴塔伊
保羅 德溫
鐘波
小松雅也
羅溫 麥爾林克
西奧 威廉姆斯 瑪麗亞 提森
瑪素素 蘭
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19172479.8A external-priority patent/EP3734366A1/en
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202105055A publication Critical patent/TW202105055A/zh
Application granted granted Critical
Publication of TWI731641B publication Critical patent/TWI731641B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control

Abstract

本發明揭示一種用於控制一微影裝置之方法,該微影裝置經組態以在包含至少一子場之一基板上圖案化一曝光場,該方法包含:獲得一初始空間分佈,該初始空間分佈與關聯於橫越該曝光場的至少該子場的該基板上之一第一層之一效能參數的一空間變化相關聯;及將該初始空間分佈分解成至少一第一分量空間分佈及一第二分量空間分佈,該第一分量空間分佈用於以一第一空間尺度控制一微影裝置,該第二分量空間分佈用於以與該子場之一大小相關聯之一第二空間尺度控制該微影裝置,其中該分解包含基於校正橫越該子場的該效能參數之該空間變化來共同最佳化該第一分量空間分佈及第二分量空間分佈。

Description

微影製程之子場控制及相關聯裝置
本發明係關於用於在微影製程中將圖案施加至基板及/或量測該等圖案之方法及裝置。
微影裝置為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影裝置可用於例如積體電路(IC)製造中。在彼情況下,圖案化器件(其替代地稱作遮罩或倍縮光罩)可用以產生待形成於IC之個別層上之電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包含晶粒之部分、一個晶粒或若干個晶粒)上。通常經由成像至設置於基板上之輻射敏感材料(抗蝕劑)層上來進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網絡(network)。已知的微影裝置包括:所謂步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束掃描圖案同時平行或反平行於此方向同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化器件轉印至基板。
為了監測微影製程,量測經圖案化基板之參數。舉例而 言,參數可包括形成於經圖案化基板中或上之順次層之間的疊對誤差及經顯影感光性抗蝕劑之臨界線寬(CD)。可對產品基板及/或對專用度量衡目標執行此量測。存在用於對在微影製程中形成之顯微結構進行量測的各種技術,包括使用掃描電子顯微鏡及各種專用工具。專用檢測工具之快速且非侵入性形式為散射計,其中輻射光束經引導至基板之表面上的目標上,且量測經散射或經反射光束之屬性。兩種主要類型之散射計為已知的。光譜散射計將寬頻帶輻射光束引導至基板上且量測經散射至特定窄角程中之輻射的光譜(隨波長而變的強度)。角解析散射計使用單色輻射光束且量測隨角度而變的散射輻射之強度。
已知散射計之實例包括US2006033921A1及US2010201963A1中所描述的類型之角度解析散射計。由此等散射計使用之目標為相對大(例如,40μm乘40μm)光柵,且量測光束產生小於光柵之光點(亦即,光柵填充不足)。除了藉由重建構進行的特徵形狀之量測以外,亦可使用此裝置來量測基於繞射之疊對,如公開專利申請案US2006066855A1中所描述。使用繞射階之暗場成像的基於繞射之疊對度量衡使得能夠對較小目標進行疊對量測。可在國際專利申請案WO 2009/078708及WO 2009/106279中找到暗場成像度量衡之實例,該等申請案之文件的特此以全文引用之方式併入。已公開之專利公開案US20110027704A、US20110043791A、US2011102753A1、US20120044470A、US20120123581A、US20130258310A、US20130271740A及WO2013178422A1中已描述該技術之進一步發展。此等目標可小於照明光點且可由晶圓上之產品結構圍繞。可使用複合光柵目標在一個影像中量測多個光柵。所有此等申請案之內容亦以引用之方式 併入本文中。
當前,藉助於例如在US2013230797A1中描述之校正模型來控制且校正疊對誤差。近年來已引入進階製程控制技術,且進階製程控制技術使用沿著經施加器件圖案而施加至基板之度量衡目標之量測。此等目標允許使用諸如散射計之高產出量檢測裝置來量測疊對,且該等量測可用於產生校正,該等校正在圖案化後續基板時經回饋至微影裝置中。進階製程控制(APC)之實例描述於例如US2012008127A1中。檢測裝置可與微影裝置分離。在微影裝置內,習知地基於設置於基板上之疊對目標之量測來應用晶圓校正模型,該等量測係作為每一圖案化操作之初步步驟。校正模型現如今包括高階模型,以校正晶圓之非線性失真。校正模型亦可經擴展以考量其他量測及/或諸如在圖案化操作期間之熱變形之所計算的效應。
雖然高階模型的使用可考慮更多效應,但若圖案化裝置自身在圖案化操作期間不提供對應參數之控制,則此等模型的使用可能有限。此外,甚至進階校正模型可能係不充足的或可能未經最佳化以校正某些疊對誤差。
將需要改良此等製程控制方法。
除控制高階疊對誤差之外,亦需要驗證微影裝置所使用之控制分佈是否不會導致任何非所要動態效應,從而潛在地降低圖案保真度(例如,幾何準確度)。本發明之主題為提供額外控制方法,該等額外控制方法的目標在於確保圖案化操作之幾何完整性。
在本發明之一第一態樣中,提供一種用於控制用於在一曝 光場上曝光一圖案之一微影製程的方法,該方法包含:獲得一初始空間分佈,該初始空間分佈與橫越該曝光場之一子場之一第一層的一效能參數之一空間變化相關聯;將該初始空間分佈分解成至少一第一分量空間分佈及一第二分量空間分佈,該第一分量空間分佈用於控制一微影裝置以校正該效能參數之該空間變化,該第二分量空間分佈用於控制該微影裝置或另一裝置以校正該效能參數的該空間變化;及基於使用用於控制該微影裝置的該初始空間分佈,與該效能參數之該空間變化之校正相比,共同最佳化該第一分量空間分佈及第二分量空間分佈,以達成該效能參數的該空間變化之一更佳校正。
在本發明之一第二態樣中,提供一種電腦程式,其包含可操作以在運行於一合適裝置上時執行該第一態樣之該方法的程式指令。
在本發明之一第三態樣中,提供一種用於判定一疊對校正分佈之方法,該方法包含:獲得橫越一曝光場內的一子場之一第一層與一第二層之間的一疊對誤差分佈;判定該第一層之一第一疊對校正分佈及該第二層之一第二疊對校正分佈,其中該第一校正分佈及第二校正分佈相加以減少橫越該子場的該疊對誤差分佈,且經共同最佳化以橫越該第一層及該第二層兩者達成一所要成像品質。
在本發明之一第四態樣中,提供一種用於判定一疊對誤差校正之方法,該方法包含:獲得沿著一掃描方向橫越複數個子場延伸的一疊對誤差分佈;及橫越該複數個子場中之至少兩個相鄰子場判定一倍縮光罩校正,其特徵在於該倍縮光罩校正係基於該等相鄰子場之間的該疊對誤差分佈之連續性之一預期改良。
在本發明之一第五態樣中,提供一種用以向一APC控制器 提供APC控制輸入且向一微影裝置提供子場控制輸入之方法,該方法包含:a)獲得橫越一基板經量測之一參數的一指紋特徵;b)將該指紋特徵分解成一全域分量及一子場分量;c)使用該微影裝置之該全域分量及該子場分量以及控制特性來判定一共同最佳化控制策略;及d)基於該共同最佳化控制策略判定該APC控制輸入及子場控制輸入。
在本發明之一第六態樣中,提供一種用於判定一疊對誤差校正之方法,該方法包含:獲得橫越複數個子場延伸的一疊對誤差分佈;及橫越該複數個子場中之至少兩個相鄰子場判定一倍縮光罩校正,其特徵在於該倍縮光罩校正係基於該等相鄰子場之間的該疊對誤差分佈之該連續性之一預期改良。
在本發明之一第七態樣中,提供一種用於一微影製程之共同最佳化全域及子場控制策略的方法,該方法包含a)獲得全域及晶粒內量測資料,b)將該晶粒內量測資料分解成全域分量資料及子場分量資料,c)使用該全域分量資料、子場分量資料及已知掃描器控制特性來判定該共同最佳化控制策略,及d)基於該共同最佳化控制策略提供一全域控制輸入及一子場控制輸入。
在本發明之一第八態樣中,提供一種組態與在一基板上製造半導體器件相關聯之一製程的方法,該方法包含:使用一圖案化製程判定提供給該基板之一特徵的尺寸之間的一比率之一預期變化;及組態該圖案化製程及/或用於該圖案化製程中之一圖案化器件,以橫越該基板上的一區域至少部分地校正該比率之該預期變化。
在本發明之一第九態樣中,提供一種判定與經受一圖案化製程之一基板上的一曝光場相關聯之一取樣方案之方法,該方法包含:導 出歸因於在該圖案化製程期間一圖案化器件及該基板的移動之間的一同步誤差之一時間依賴性而導致的橫越該曝光場之一圖案尺寸標定誤差及/或一圖案定位誤差之一預期分佈;且基於使用根據該取樣方案的該等圖案尺寸及/或圖案位置之量測來表徵該預期分佈之一所要準確度來判定該取樣方案。
在本發明之一第十態樣中,提供一種用於控制一微影裝置之方法,該微影裝置經組態以在包含至少一子場的一基板上圖案化一曝光場,該方法包含:獲得一初始空間分佈,該初始空間分佈與關聯於橫越該曝光場之至少該子場的該基板上之一第一層之一效能參數的一空間變化相關聯;及將該初始空間分佈分解成至少一第一分量空間分佈及一第二分量空間分佈,該第一分量空間分佈用於以一第一空間尺度控制一微影裝置,該第二分量空間分佈用於以與該子場之一大小相關聯之一第二空間尺度控制該微影裝置,其中該分解包含基於校正橫越該子場的該效能參數之該空間變化來共同最佳化該第一分量空間分佈及第二分量空間分佈。
下文參考隨附圖式詳細地描述本發明之其他態樣、特徵及優點以及本發明之各種實施例的結構及操作。應注意,本發明不限於本文中所描述之具體實施例。本文中僅出於說明性目的呈現此類實施例。基於本文中所含之教示,額外實施例對於熟習相關技術者將為顯而易見的。
1:經編號晶粒
2:經編號晶粒
3:經編號晶粒
4:經編號晶粒
5:經編號晶粒
6:經編號晶粒
7:經編號晶粒
8:經編號晶粒
200:微影工具
202:量測站
204:曝光站
206:控制單元
208:塗佈裝置
210:烘烤裝置
212:顯影裝置
220:基板
222:裝置
224:裝置
226:裝置
230:基板
232:基板
234:基板
240:度量衡裝置
242:度量衡結果
310:資料
320:資料
330:資料
340:資料
350:處理參數
500:所要校正
510:倍縮光罩子場校正分量
520:掃描器子場分量
530:所要校正
540:階梯函數倍縮光罩子場校正分量
550:高階掃描器子場分量
610:步驟
620:步驟
630:步驟
710:步驟
720:步驟
730:步驟
800:疊對誤差分佈
810:第一層分量
820:第二層分量
830:第一層疊對校正
840:第二層校正
900:步驟
910:步驟
920:步驟
930:步驟
940:步驟
1000a:黑色實線
1000b:黑色實線
1010:虛線/灰線
1020a:倍縮光罩校正分佈
1020b:倍縮光罩校正分佈
1030:所需掃描器校正分佈
1100:全域量測及/或校正資料
1110:子場量測及/或校正資料
1115:晶粒內資料
1120:子場分量資料
1130:全域分量資料
1140:共同最佳化步驟
1150:子場校正
1160:全域校正
1200:橫越晶圓指紋特徵
1210:全域指紋特徵
1220:子場分量
1240:橫越晶圓指紋特徵
1250:場內分量
1260:全域晶圓指紋特徵
1270:子場控制分佈
1280:全域分量
1500:曝光場
1501:子場
1502:子場
1503:子場
1504:子場
1505:子場
1506:子場
1507:子場
1510:第一倍縮光罩
1511:子場
1512:子場
1515:子場
1517:子場
1520:第二倍縮光罩
1522:子場
1524:子場
1526:子場
1550:掃描方向
1600:效能參數變化
1610:實線
1615:第一控制分佈分量
1620:效能參數變化
1625:第二控制分量分佈
1700:倍縮光罩
1710:第一位置
1720:第二位置
1750:基板
CL:電腦系統
EXP:曝光站
f1:第一空間頻率
f2:第一空間頻率
IF:位置感測器
L1:第一層
L2:第二層
LA:微影裝置
LACU:控制單元
MA:圖案化器件
MEA:量測站
MET:度量衡工具
OV:疊對
R:配方資訊
SC1:第一標度
SC2:第二標度
SC3:第三標度
SCS:監督控制系統
W:基板
X:掃描方向
Y:隙縫方向
現將參考隨附圖式藉助於實例來描述本發明之實施例,在該等圖式中:圖1描繪微影裝置以及形成半導體器件之生產設施的其他裝置;圖2描繪整體微影之示意性表示,其表示最佳化半導體製造的三種關 鍵技術之間的合作;圖3展示處理參數之例示性源;圖4為疊對相對於場位置之曲線圖,其展示經量測疊對;及使用藉由根據本發明之實施例的方法判定的控制柵格來模型化及/或實施之經擬合校正;包含圖5(a)及圖5(b)之圖5概念地說明根據本發明之第一主要實施例的控制分佈之分解的兩個實例;圖6為描述根據本發明之實施例之方法的流程圖;圖7為描述根據本發明之實施例之方法的流程圖;圖8概念地說明根據本發明之第二主要實施例之控制分佈的分解;圖9為描述根據本發明之實施例之方法的流程圖;圖10(a)至圖10(b)概念地說明根據本發明之實施例的經組態以使橫越子場之間的邊界之疊對誤差之不連續性減少的特定於子場的倍縮光罩校正;圖11為描繪根據本發明之實施例之共同最佳化全域及子場控制的流程圖;圖12(a)至圖12(c)描繪如何將全域及晶粒內指紋特徵分量分配給全域或子場控制基礎設施中之任一者的實例。
圖13說明疊對控制分佈及其相關聯之MSD(衰落)及MA(疊對誤差)。
圖14展示根據一實施例之用以校正曝光場內之非恆定衰落對接觸孔特徵的圖案保真度之影響的方法。
圖15(a)至圖15(c)描繪橫越兩個倍縮光罩當中的倍縮光罩分佈子場之 實例。
圖16描繪與如圖15(a)至圖15(c)中顯示之子場分佈相關聯的控制分佈。
圖17描繪在兩個獨立曝光步驟之間使倍縮光罩移位的實施例。
在詳細地描述本發明之實施例之前,有指導性的係呈現可供實施本發明之實施例之實例環境。
圖1在200處將微影裝置LA展示為實施大容量微影製造製程之工業生產設施之部分。在本實例中,製造製程經調適用於在諸如半導體晶圓的基板上製造半導體產品(積體電路)。技術人員將瞭解,可藉由以此製程之變化形式處理不同類型之基板來製造各種產品。半導體產品之生產僅僅用作現今具有巨大商業意義之實例。
在微影裝置(或簡言之,「微影工具(litho tool)」200)內,量測站MEA展示於202處且曝光站EXP展示於204處。控制單元LACU展示於206處。在此實例中,每一基板訪問量測站及曝光站以施加圖案。舉例而言,在光學微影裝置中,投影系統用以使用經調節輻射及投影系統將產品圖案自圖案化器件MA轉印至基板上。此藉由在輻射敏感抗蝕劑材料層中形成圖案影像來完成。
本文所使用之術語「投影系統」應廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體的使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、折反射、磁性、電磁以及靜電光學系統,或其任何組合。圖案化器件MA可為將圖案賦予至由圖案化器件透射或反射之輻射光束的遮罩或倍縮光罩。熟知操作模式包括步進模式及 掃描模式。眾所周知,投影系統可以多種方式與基板及圖案化器件之支撐件及定位系統合作,以將所要圖案施加至橫越基板之許多目標部分。可使用可程式化圖案化器件來代替具有固定圖案之倍縮光罩。舉例而言,輻射可包括在深紫外線(DUV)波帶或極紫外線(EUV)波帶中之電磁輻射。本發明亦適用於其他類型之微影製程,例如,例如藉由電子束進行之壓印微影及直寫微影。
微影裝置控制單元LACU控制各種致動器及感測器之所有移動及量測以收納基板W及倍縮光罩MA且實施圖案化操作。LACU亦包括用以實施與裝置之操作相關的所要計算之信號處理及資料處理能力。實務上,控制單元LACU將實現為許多子單元之系統,該等子單元各自處置裝置內之子系統或組件的即時資料獲取、處理及控制。
在曝光站EXP處將圖案施加至基板之前,在量測站MEA處處理基板使得可進行各種預備步驟。預備步驟可包括使用位階感測器來映射基板之表面高度,及使用對準感測器來量測基板上之對準標記的位置。對準標記以規則柵格圖案標稱地配置。然而,歸因於在產生標記時之不準確度且亦歸因於基板貫穿其處理而發生之變形,標記偏離理想柵格。因此,除了量測基板之位置及定向以外,對準感測器實務上亦必須詳細地量測橫越基板區域之許多標記的位置(在裝置將以極高準確度在正確部位處印刷產品特徵的情況下)。裝置可屬於具有兩個基板台之所謂的雙載物台類型,該等基板台各自具有由控制單元LACU控制之定位系統。在曝光站EXP處曝光一個基板台上之一個基板的同時,可在量測站MEA處將另一基板裝載至另一基板台上,使得可進行各種預備步驟。因此,對準標記之量測極為耗時,且提供兩個基板台使得能夠顯著增加裝置之產出量。若在 基板台處於量測站處以及處於曝光站處時位置感測器IF不能夠量測基板台之位置,則可提供第二位置感測器以使得能夠在兩個站處追蹤基板台之位置。微影裝置LA可例如屬於所謂的雙載物台類型,其具有兩個基板台以及兩個站--曝光站及量測站--在兩個站之間可交換該等基板台。
在生產設施內,裝置200形成「微影單元(litho cell)」或「微影叢集(litho cluster)」之部分,該「微影單元」或「微影叢集」亦含有塗佈裝置208以用於將感光抗蝕劑及其他塗層施加至基板W以用於藉由裝置200進行圖案化。在裝置200之輸出側處,提供用於將經曝光圖案顯影至實體抗蝕劑圖案中的烘烤裝置210及顯影裝置212。在所有此等裝置之間,基板處置系統負責支撐基板且將基板自一台裝置轉移至下一台裝置。常常統稱為塗佈顯影系統之此等裝置在塗佈顯影系統控制單元之控制下,該塗佈顯影系統控制單元自身受到監督控制系統SCS控制,該監督控制系統SCS亦經由微影裝置控制單元LACU來控制微影裝置。因此,不同裝置可經操作以最大化產出量及處理效率。監督控制系統SCS接收配方資訊R,該配方資訊R非常詳細地提供待執行以產生每一經圖案化基板之步驟的定義。
一旦已在微影單元中施加及顯影圖案,即將經圖案化基板220轉印至諸如在222、224、226處說明之其他處理裝置。各種處理步驟藉由典型製造設施中之各種裝置予以實施。出於實例起見,此實施例中之裝置222為蝕刻站,且裝置224執行蝕刻後退火步驟。將其他物理及/或化學處理步驟應用於其他裝置226等。可需要眾多類型之操作以製作真實器件,諸如材料之沈積、表面材料特性之改質(氧化、摻雜、離子植入等)、化學機械研磨(CMP)等等。實務上,裝置226可表示在一或多個裝置中執 行之一系列不同處理步驟。作為另一實例,可提供用於實施自對準多重圖案化之裝置及處理步驟,以基於藉由微影裝置敷設之前驅圖案來產生多個較小特徵。
眾多周知,半導體器件之製造涉及此處理之許多重複,以在基板上逐層地用適當材料及圖案構建器件結構。因此,到達微影叢集之基板230可為新近製備之基板,或其可為先前已在此叢集中或在另一裝置中完全地經處理的基板。類似地,取決於所需處理,離開裝置226之基板232可經返回以用於同一微影叢集中之後續圖案化操作,該等基板232可經指定以用於不同叢集中的圖案化操作,或該等基板232可為待發送以供切塊及封裝之成品。
產品結構之每一層需要一組不同製程步驟,且用於每一層處之裝置226可在類型方面完全地不同。另外,即使在待由裝置226應用之處理步驟在大型設施中標稱地相同的情況下,亦可存在並行地工作以對不同基板執行步驟226之若干假設相同的機器。此等機器之間的設定之小差異或缺陷可意謂其以不同方式影響不同基板。即使諸如蝕刻(裝置222)的對於每一層相對通用之步驟亦可藉由標稱地相同但並行地工作以最大化產出量之若干蝕刻裝置實施。此外,實務上,不同層根據待蝕刻之材料的細節及諸如各向異性蝕刻的特定要求,需要不同蝕刻製程,例如化學蝕刻、電漿蝕刻。
可在如剛才所提及之其他微影裝置中執行先前及/或後續製程,且可甚至在不同類型之微影裝置中執行先前及/或後續製程。舉例而言,器件製造製程中之在諸如解析度及疊對之參數方面要求極高的一些層相比於要求不高之其他層可在更進階微影工具中執行。因此,一些層可曝 光於浸潤型微影工具中,而其他層曝光於「乾式」工具中。一些層可曝光於在DUV波長下工作之工具中,而其他層使用EUV波長輻射來曝光。
為了正確且一致地曝光由微影裝置曝光之基板,需要檢測經曝光基板以量測諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等之屬性。因此,微影單元LC所定位之製造設施亦包括收納已在微影單元中經處理的基板W中之一些或全部的度量衡系統。將度量衡結果直接地或間接地提供給監督控制系統SCS。若偵測到誤差,則可對後續基板之曝光進行調整,尤其在可足夠迅速且快速地完成度量衡以使得同一批次之其他基板仍待曝光的情況下。此外,已曝光之基板可經剝離及重工以提高良率,或經捨棄,藉此避免對已知有缺陷之基板執行進一步處理。在基板之僅一些目標部分有缺陷的情況下,可僅對良好的彼等目標部分執行其他曝光。
圖1中亦展示度量衡裝置240,該度量衡裝置240經提供以用於在製造製程中對在所要載物台處之產品進行參數量測。現代微影生產設施中之度量衡站之常見實例為散射計,例如暗場散射計、角度解析散射計或光譜散射計,且其可經應用以在裝置222中進行蝕刻之前量測在220處的經顯影基板之屬性。在使用度量衡裝置240的情況下,可判定出例如諸如疊對或臨界尺寸(CD)之重要效能參數並不滿足經顯影抗蝕劑中之指定準確度要求。在蝕刻步驟之前,存在經由微影叢集剝離經顯影抗蝕劑且重新處理基板220的機會。藉由監督控制系統SCS及/或控制單元LACU 206隨著時間推移進行小幅調整,可使用來自裝置240之度量衡結果242以維持微影叢集中之圖案化操作的準確效能,藉此最小化製得不合格產品且需要重工之風險。
另外,度量衡裝置240及/或其他度量衡裝置(未展示)可經應用以量測經處理基板232、234及傳入基板230之屬性。可在經處理基板上使用度量衡裝置來判定諸如疊對或CD之重要參數。
通常,微影裝置LA中之圖案化製程為處理中的最關鍵步驟中之一者,其需要基板W上之結構的尺寸標定及置放之高準確度。為了確保此高準確度,可將三個系統組合於如圖2中示意性地描繪之所謂「整體」控制環境中。此等系統中之一者為微影裝置LA,其(實際上)連接至度量衡工具MET(第二系統)且連接至電腦系統CL(第三系統)。此「整體」環境之關鍵在於最佳化此等三個系統之間的合作以增強總體製程窗且提供嚴格控制迴路,以確保由微影裝置LA執行之圖案化保持在製程窗內。製程窗界定一系列製程參數(例如,劑量、聚焦、疊對),在該等製程參數內具體製造製程產生經界定結果(例如,功能半導體器件)--通常在此結果內允許微影製程或圖案化製程中之製程參數變化。
電腦系統CL可使用待圖案化之設計佈局(之一部分)預測使用哪些解析度增強技術且執行計算微影模擬及計算,以判定哪些遮罩佈局及微影裝置設定達成圖案化製程的最大總體製程窗(在圖2中由第一標度SC1中之雙箭頭描繪)。通常,解析度增強技術經配置以匹配微影裝置LA之圖案化可能性。電腦系統CL亦可用以偵測在製程窗內何處微影裝置LA當前正操作(例如使用來自度量衡工具MET之輸入)以預測歸因於例如次佳處理是否可存在缺陷(在圖2中由第二標度SC2中的指向「0」之箭頭描繪)。
度量衡工具MET可將輸入提供至電腦系統CL以實現準確模擬及預測,且可將回饋提供至微影裝置LA以識別例如微影裝置LA之校 準狀態的可能漂移(在圖2中由第三標度SC3中之多個箭頭描繪)。
各種技術可用以提高圖案再現至基板上之準確度。圖案至基板上之準確再現並非IC之產生中的唯一關注點。另一關注點為良率,其大體上量測器件製造商或器件製造製程每基板可產生多少功能器件。各種方法可用於提高良率。一個此類方法嘗試使器件之產生(例如,使用諸如掃描器之微影裝置將設計佈局的一部分成像至基板上)在處理基板期間(例如,在使用微影裝置將設計佈局的一部分成像至基板上期間)更耐受處理參數中之至少一者的擾動。重疊製程窗(OPW)之概念為此方法之有用工具。器件(例如IC)之產生可包括其他步驟,諸如在成像之前、之後或期間的基板量測;裝載或卸載基板;裝載或卸載圖案化器件;在曝光之前將晶粒定位於投影光學器件之下方;自一個晶粒步進至另一晶粒等。另外,圖案化器件上之各種圖案可具有不同製程窗(亦即,將在規格內產生圖案所根據的處理參數之空間)。關於潛在系統性缺陷之圖案規格之實例包括檢查頸縮、線拉回、線薄化、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。可藉由合併(例如,重疊)每一個別圖案之製程窗來獲得圖案化器件上之圖案中的所有或一些(通常為特定區域內之圖案)之製程窗。此等圖案之製程窗因此稱作重疊製程窗。OPW之邊界可含有個別圖案中的一些之製程窗的邊界。換言之,此等個別圖案限制OPW。此等個別圖案可稱作「熱點」、「臨界特徵」或「製程窗限制圖案(PWLP)」,「熱點」、「臨界特徵」或「製程窗限制圖案」在本文中可互換地使用。當控制微影製程時,聚焦於熱點上為可能的,且通常為低成本的。當熱點無缺陷時,有可能所有圖案皆無缺陷。當在處理參數之值在OPW外部的情況下處理參數之值較接近於OPW時,或當在處理參數之值在OPW內部的情況 下處理參數之值較遠離OPW之邊界時,成像變得更耐受擾動。
圖3展示處理參數350之例示性源。一個源可為處理裝置之資料310,諸如微影裝置之源、投影光學器件、基板載物台等的參數、塗佈顯影系統之參數等。另一源可為來自各種基板度量衡工具之資料320,諸如基板高度圖、焦點圖、臨界尺寸均一性(CDU)圖等。可在可應用基板經歷防止基板之重工的步驟(例如,顯影)之前獲得資料320。另一源可為來自一或多個圖案化器件度量衡工具之資料330、圖案化器件CDU圖、圖案化器件(例如遮罩)膜堆疊參數變化等。又一源可為來自處理裝置之操作者的資料340。
微影製程之控制通常基於回饋或前饋且接著使用例如場間(橫越基板的指紋特徵)或場內(橫越場的指紋特徵)模型來模型化之量測。以引用之方式併入本文中之美國專利申請案20180292761描述一種控制方法,該方法用於使用進階校正模型來控制在子場層級處的諸如疊對之效能參數。在歐洲專利申請案EP3343294A1中描述使用子場控制之另一控制方法,該專利申請案亦以引用之方式併入本文中。
然而,雖然進階校正模型可例如包括20至30個參數,但當前在使用中之微影裝置(為簡潔起見,在整個描述中將使用術語「掃描器」)可能不具有對應於參數中之一或多者的致動器。因此,僅模型之參數的整個集合中之子集可在任何給定時間使用。另外,由於進階模型要求許多量測,因此不需要在所有情形中使用此等模型,此係由於執行必要量測所需之時間減少產出量。
對疊對誤差之主要貢獻因素中之一些包括但不限於以下各者: 特定於掃描器的誤差:此等誤差可起因於在基板之曝光期間使用之掃描器的各個子系統,實際上產生特定於掃描器的指紋特徵;製程引起之晶圓變形:對基板執行之各個製程可使基板或晶圓變形;照明設定差異:此等差異由照明系統之設定引起,諸如孔徑之形狀、透鏡致動器定位等;加熱效應--加熱引起之效應在基板(尤其對於各個子場包括不同類型之組件或結構的基板而言)的各個子場之間將不同;倍縮光罩寫入誤差:歸因於圖案化器件製造中之限制,誤差可能已經存在於該圖案化器件中;及構形變化:基板可(尤其在晶圓之邊緣周圍)具有構形(高度)變化。
可實施對場之個別子場(例如,在晶粒層級或其他功能區域層級處)之疊對誤差的模型化,而非對整個場的疊對誤差進行模型化,或除了對整個場進行模型化之外,亦可對場之個別子場的疊對誤差進行模型化。雖然後者要求較多處理時間,但由於對場以及場內之子場兩者進行模型化,所以其允許校正僅涉及特定子場之誤差源以及涉及整個場的誤差源。當然,諸如對整個場及僅某些子場進行模型化之其他組合為可能的。
即使在對誤差進行充分地模型化之情況下,結果校正之致動亦帶來困難。使用可用控制參數(控制旋鈕)無法簡單地有效地致動一些校正。另外,雖然其他校正可為可致動的,但實際上這樣做可導致非所要副作用。基本上,歸因於動態及控制侷限性及敏感度,掃描器在實施校正方面之實際能力受到限制。
圖4說明在致動校正時帶來困難之場間疊對指紋特徵之具 體實例。該圖展示疊對OV(y軸)相對於方向X(或Y)之曲線圖。每一交叉表示經量測疊對值,且每一點為必要的對應補償校正。擬合線為(近乎理想的)校正分佈,該校正分佈與校正(點)擬合。疊對指紋特徵中所展現之鋸齒圖案係明顯的;疊對隨著X實質上線性地發生變化之每一區段為單一晶粒(該曲線圖表示橫越4個晶粒之疊對量測)。校正分佈遵循(且因此補償)疊對指紋特徵。將此等指紋特徵視為由大堆疊引起之大應力之結果,例如,如在例如3D-NAND或DRAM製程中所使用。此應力自身顯現於晶圓層級(造成嚴重晶圓翹曲)以及晶粒層級兩者處。在晶粒層級處,疊對指紋特徵包含每一晶粒內部之放大率。由於在曝光場內存在多個晶粒,因此所得場疊對指紋特徵展現所展示的鋸齒圖案(通常以數十奈米之尺度)。取決於器件之定向,圖案可為貫穿隙縫或貫穿掃描(through-scan)。無論定向如何,皆無法用可用模型及致動器校正疊對。特定而言,對於此極端圖案之校正之致動不可能僅在掃描器內進行。
雖然本文中的實施例將根據疊對或邊緣置放誤差(EPE)來具體描述,該疊對或邊緣置放誤差表現為鋸齒圖案(例如,由3D-NAND或DRAM製程中之晶粒內應力引起,如圖4中所說明),但應瞭解,其可用以校正任何其他高階疊對、EPE或焦點指紋特徵。
為了最佳地校正如圖4中所描繪之疊對指紋特徵,能夠在小於週期性分佈之間距(例如小於圖4的重複鋸齒分佈之一個「鋸齒」)之空間尺度上調整掃描器為重要的。此個別鋸齒區域通常與個別晶粒內之單元結構相關聯。因此,掃描器之介面應允許在曝光場內界定分開地可控區域。此概念稱為子場控制介面;此概念的實例揭示於前述歐洲專利申請案EP3343294A1中。舉例而言,經組態以用於第一單元晶粒/單元結構之掃 描器之晶圓載物台的控制分佈可很大程度上獨立於沿著掃描方向進一步定位之第二單元/晶粒結構之控制分佈來界定。子場控制基礎設施允許以子場解析度對重複之疊對(或焦點)變化進行更佳校正。此外,獨立控制不同子場區域之能力允許減少晶粒內及/或單元內疊對/焦點指紋特徵的晶粒至晶粒或單元至單元之變化。
通常,掃描器疊對控制使用動態載物台位置控制以調整結構(特徵)之置放,使得疊對誤差最小化。原則上,此可藉由預校正預期疊對誤差指紋特徵(例如,如由歸因於施加後續層而導致之應力積聚所引起)及/或藉由調整後續層內之特徵的置放來實施,以便與先前層中之特徵充分地對準。
用於預校正諸如由應力引起之置放錯誤的置放錯誤之實例技術為調整倍縮光罩上之單元及/或晶粒內的特徵置放。此可例如在倍縮光罩製造步驟及/或倍縮光罩校正步驟期間完成。更特定而言,可調整倍縮光罩寫入工具之電子束之控制,使得特徵相對於標稱位置移位。理想地,移位將與正在校正之誤差移位恰好相反,例如,在施加後續層之後歸因於應力引起的變形而導致之特徵移位。效果為,使用此倍縮光罩將使掃描器疊對校正基礎設施要校正的量變得更少。然而,經由倍縮光罩之校正必須為靜態的,且無法解決疊對指紋特徵之任何變化(例如,場至場、晶圓至晶圓及/或批次間變化)。此變化可具有與指紋特徵自身相同之數量級。此外,在控制所使用之寫入工具(例如,電子束工具或類似者)中固有的此倍縮光罩寫入校正時,存在致動及敏感度侷限性。
掃描器疊對校正通常藉由投影透鏡之載物台控制器及/或透鏡操控器應用(奇數像差控制可用以控制特徵之置放)。然而,如已提及, 掃描器無法完全追蹤任何所要疊對校正分佈。此情況的一個原因為歸因於對可由晶圓(及倍縮光罩)載物台達成之速度及加速之約束。另一原因為掃描器用相對大照明光點曝光基板之實情(所謂的隙縫長度代表掃描方向上光點之大小,參考文獻:歐洲專利申請案EP19150960.3,其特此以全文引用的方式併入)。光點之延伸意謂在掃描曝光期間,在所要疊對校正不僅僅為橫越整個晶粒/單元之簡單移位的情況下,晶粒/單元內之特徵的某一部分將始終次最佳定位。在掃描操作期間有效位置(疊對)校正之此變化有效地使特徵之空中影像模糊,此又導致對比度損失。此動態效果通常稱為移動標準偏差(MSD)。載物台定位之侷限性通常與平均位置(疊對)誤差相關聯,且通常稱為移動平均值(MA)誤差。
更特定而言,微影載物台之誤差之移動平均值(MA)誤差及移動標準偏差(MSD)係關於包含曝光晶粒上的每一點(換言之:接收光子)之時間間隔之關鍵時間窗。若在此時間間隔期間晶粒上的點之平均位置誤差較高(換言之:高MA誤差),則效果為所曝光影像之移位,從而導致疊對誤差。若在此時間間隔期間位置誤差之標準偏差較高(換言之:高MSD誤差),則影像可有污跡,從而導致衰落誤差。
歸因於MSD而導致之平均疊對誤差(MA)及對比度損失皆為整體邊緣置放誤差(EPE)預算之貢獻因素,且因此在判定晶圓及/或倍縮光罩載物台的某一控制分佈時需要仔細平衡;通常,更以MA為目標的控制方法將產生更高MSD影響,而以MSD為目標的控制策略可導致不可接受的大MA誤差。EPE為由全域臨界尺寸均一性(CDU)、局部CDU(例如,線邊緣粗糙度LER/線寬粗糙度LWR)及疊對誤差導致之組合誤差。此等參數對良率具有最大影響,因為此等參數中之誤差影響特徵的相對定 位,且任何兩個特徵是否無意地接觸或無意地未接觸。
在不考慮掃描器能力(或甚至不適當考慮倍縮光罩寫入工具敏感度/能力)之情況下實施本發明的倍縮光罩校正。之後為在掃描器內設計之後續曝光控制最佳化,此時倍縮光罩校正為固定的。在所提出之方法中,一起執行倍縮光罩最佳化及曝光控制最佳化,以根據諸如疊對、聚焦、CDU或EPE之效能度量來達成經提高效能。因而,提出平衡倍縮光罩形成製程(例如,寫入、校正或改變製程)之控制與掃描器曝光製程之控制(例如,疊對控制)。特定而言,提出在此等兩種控制方案內之控制之共同最佳化。
舉例而言,用於倍縮光罩形成製程及掃描器曝光製程兩者之校正策略之共同最佳化可判定最佳化倍縮光罩校正,此使得共同最佳化掃描器校正在掃描方向上校正較簡單者以致動疊對誤差分佈。共同最佳化亦可考慮倍縮光罩寫入工具能力及/或敏感度,以更佳最佳化倍縮光罩校正。此外,在一實施例中,提出共同最佳化IC製造製程之多個組件/製程及其間的相互作用,以獲得關於一或多個效能參數之最佳所要效能。在考慮多個參數之情況下,可根據相關性對其進行加權。
在一實施例中,倍縮光罩校正可判定為線性或步進校正,且掃描器曝光校正可判定為高階(例如,3階或更高階)校正。此使得後者能夠成為較小校正(例如,幅度較低),從而需要載物台的較少極端移動,因此更易於在掃描器內致動。
圖5概念地說明此方法。圖5(a)描繪所要校正500(點虛線),該所要校正500表示橫越子場之「總校正」(初始空間分佈),例如,校正晶粒之預期疊對殘差所需的總校正分佈。根據本文中所揭示之概念, 所要校正500分解成分量空間分佈,其包括倍縮光罩子場校正分量510(線性分量--虛線)及高階(但幅度較小)的掃描器子場分量520(實線),其可由掃描器疊對校正基礎設施(例如,載物台及/或投影透鏡控制)更最佳地校正。
有可能,當使用線性倍縮光罩校正時,不一定總能校正整個晶粒內應力指紋特徵,或使用線性校正可能太容易過度校正。因此,圖5(b)說明使用倍縮光罩子場校正之階梯函數校正之替代策略。展示了分解成包括階梯函數倍縮光罩子場校正分量540(虛線)及高階掃描器子場分量550(實線)之分量空間分佈之所要校正530(點虛線)。此階梯函數有助於拉動高階形狀(子場分量550)之邊緣,以獲得更佳校正。
倍縮光罩子場校正可實施為倍縮光罩寫入工具、倍縮光罩校正工具或在倍縮光罩之製造、校正或改變中使用之其他工具的控制配方。在另一實施例中,代替在倍縮光罩製造步驟期間應用永久倍縮光罩寫入修改(校正)(或除此之外),可基於毫微微秒雷射脈衝技術對倍縮光罩應用倍縮光罩製造後疊對校正。此概念描述於美國專利申請案US 20180307135中,該美國專利申請案特此以全文引用之方式併入。
更一般而言,所要總校正分佈可分解成各種分量(例如,高階、低階及縮放分量),其中此等分量最佳地分配給掃描器控制或倍縮光罩製造/校正控制中之任一者,以達成最佳可能校正,從而產生最低產品上疊對殘差。
圖6為描述本文中所揭示之概念之實施例的流程圖。在步驟610處,獲得所要總校正分佈(初始空間分佈),其表示沿著待校正之掃描方向之疊對誤差分佈。此可例如自預期或已知晶粒內應力指紋特徵中導 出。在步驟620處,總校正分佈分解成複數個候選掃描器子場校正分佈(候選第一分量空間分佈)及候選倍縮光罩子場校正分佈(候選第二分量空間分佈)。在步驟630處,根據效能度量選擇倍縮光罩子場校正分佈及掃描器子場校正分佈之最佳組合,例如在場上方產生最小疊對殘差之組合。
圖7展示基於優質函數(metric function)之圖6之方法的變型。步驟710與步驟610相同。步驟720可包含設計優質函數,該優質函數根據一或多個倍縮光罩子場校正項(例如,所要總校正之低階或線性部分)及一或多個掃描器子場校正項(例如,所要總校正之高階部分)來描述效能參數。在一實施例中,掃描器子場校正項可約束於(例如,相對)小範圍內,以使得更易於在掃描器內致動,其中允許倍縮光罩子場校正項具有更大量值。在此內容背景中,小範圍可包含所要總校正分佈之量值之50%、40%、30%、20%或10%。接著,步驟730包含根據效能參數最佳化優質函數。此可包含例如對最佳化(例如,最小化)效能參數值(例如,疊對或EPE)之反覆算法進行求解。
共同最佳化掃描器校正分佈可在掃描器內例如基於掃描器度量衡(對準/調平等)或其他度量衡經歷進一步最佳化,以判定在曝光期間實施之實際校正分佈。
倍縮光罩校正與掃描器校正之間的平衡可藉由包括相對於合適的效能參數(例如,一或多個臨界特徵之預期EPE誤差)之與掃描器校正分佈相關聯的MA或MSD或MA/MSD組合之最佳化來進一步細化。在此實施例中,方法可包含識別子場內之一或多個臨界特徵,且根據最小化臨界特徵之預期EPE及/或使用臨界特徵的EPE作為優質函數中之優質術語/效能參數來執行圖6或圖7的方法中之任一者。
在其他實施例中,共同最佳化步驟可考慮(例如,共同最佳化)除掃描方向上之載物台/投影透鏡控制分佈之外的控制參數,該等控制參數影響效能參數。舉例而言,共同最佳化掃描器控制分佈可根據焦點、劑量等進一步最佳化,以上所有者亦對EPE具有影響。
可替代地,或除了將校正分佈劃分成不同工具(掃描器及倍縮光罩寫入工具)之控制分佈之外,校正分佈亦可橫越施加至基板之複數個層分佈。
在此實施例中,與曝光場之子場相關聯之校正分佈可分解成其分數分量,用於在基板上的不同層中分開地致動。以此方式分解校正分佈且在不同層中施加分數分量可實現對分數分量的判定,該等分數分量更易於在掃描器內及/或倍縮光罩上致動。因而,待實施於第一層之曝光中之所提出的總校正分佈可分解成互補的多個控制分佈,以用於在多個層中實施。舉例而言,待實施於第一層之曝光中之所提出的總校正分佈可分解成分別待實施於第一層及第二層之曝光中之互補的第一控制分佈及第二控制分佈。
此實施例可與先前所描述之實施例分開地實現,使得總校正分佈劃分成用於掃描器之至少第一校正分佈及第二校正分佈。接著,在曝光基板上之不同層時,掃描器將實施第一校正分佈及第二校正分佈。在另一實施例中,第一校正分佈及第二校正分佈可經組態為用於倍縮光罩校正之控制配方(例如,倍縮光罩寫入/校正工具)。在後一情況下,第一校正經組態以用於與第一層相關聯之第一倍縮光罩,且第二校正經組態以用於與第二層相關聯之第二倍縮光罩。
可組合將校正分量分配給不同層及倍縮光罩以及掃描器校 正之概念。此可藉由任何合適的方法達成。舉例而言,倍縮光罩及掃描器校正可首先共同最佳化(例如,使用圖6或圖7中所描述之方法),其中倍縮光罩及掃描器校正中之一或兩者接著進一步分解以分佈於兩個或更多個層上方。可替代地,可首先基於總校正來執行層分佈,以判定層校正分佈,其中層校正分佈中之每一者接著經分解成倍縮光罩校正及掃描器校正。可替代地,共同最佳化可同時共同最佳化將與單層相關之總校正分佈分解成兩個或更多個層之分量倍縮光罩及掃描器校正分佈(例如,使用合適的優質函數)。
在所有以上實例中,可根據已揭示之效能參數中之任一者(疊對、EPE等)執行最佳化。在一實施例中,效能參數誤差與由後續層之施加引起的應力相關聯,其中共同最佳化係基於預期效能參數殘差。本描述將繼續根據兩個層之間的控制分佈之分佈描述此實施例。在所有情況下,在適用情況下,控制分佈之分佈可在超過兩個層之間。
圖8描繪將橫越子場之疊對誤差分佈800分解成第一層分量810及第二層分量820。此疊對分佈之有效校正可藉由界定第一層L1的第一層疊對校正830及在第一層L1之後的第二層L2之第二層疊對校正840來達成。
通常,總校正分佈經劃分成使得:a)第一校正及第二校正一起相加為所要總疊對校正,及b)第一校正及第二校正可分別使用第一控制分佈及第二控制分佈來實施,該第一控制分佈及第二控制分佈可使用掃描器之控制基礎設施更準確地跟蹤。第一控制分佈及第二控制分佈例如可約束或保持在比總控制分佈更小的範圍內。
在一實施例中,第一層控制分佈及第二層控制分佈可經平 衡成使得a)與第一層控制分佈及第二層控制分佈相關聯之MSD特性不會過度地降低第一層及第二層內之特徵的成像品質及b)與第一層控制分佈及第二層控制分佈相關聯之MA特性不會過度地降低第一層與第二層之間的疊對。因此,總的所要疊對校正可分成複數個每層控制分佈,該等每層控制分佈經平衡以確保每層EPE(經MSD驅動,代表成像品質)為可接受的,且層間的疊對保持在規格內(經MA驅動)。此可基於總體可接受性(最佳比率)來完成,或替代地,此可完成來以另一(例如不太關鍵的)層為代價在一個層(例如關鍵層)中獲得更佳校正。
此平衡可藉由判定最大允許MSD降級且使用此最大允許MSD降級來最佳化掃描器照明設定來實現。此可作為多焦點成像(MFI)操作模式之一部分來完成。最佳化掃描器照明設定可使得其在保持可接受之(例如,實際)製程窗的同時實現最佳晶粒內應力校正。
對於物鏡之給定數值孔徑(NA),MFI使用多個聚焦位階(例如,經由多個波長)來有效地增加聚焦深度(DoF)。此使得成像NA及因此曝光寬容度(製程窗)能夠增加,同時DoF可根據生產層需要藉由MFI最佳化。
如本文中所描述之子場控制之一個問題為其往往會增加MSD。在此內容背景中,使用MFI最佳化為MSD降級提供更大空間,因此使得能夠對應地放寬任何MSD約束。舉例而言,用於分解總控制分佈之一種方法可包含執行上文根據製程參數(特別為疊對(亦即,MA))所描述的方法中之任一者,其中應用MSD作為約束(例如,約束在可允許的範圍內)。藉由經由MFI最佳化照明以增加DoF及製程窗,可放寬MSD約束。MSD約束之放寬增加可供用於MA/疊對校正分佈之校正空間,從而 有效地允許載物台的更多極端移動。
圖9為說明每一層之所提出方法之流程圖。在步驟900處,晶粒內應力效能參數(例如,疊對)指紋特徵經量測且相應分解成層掃描器控制分量,以用於在兩個或更多個不同層中施加(此方法亦可適用於每一工具具有分解之第一描述實施例的掃描器分量)。在步驟910處,基於層特性(通常,每一層對MSD具有不同敏感度)來判定每層之最大允許MSD,且基於此,照明條件經最佳化920以用於曝光彼層。此可經由控制掃描器及/或雷射(照明源)來達成。在步驟930處,基於分解層控制分佈及每一層之最佳化照明條件,為層判定改良的最佳化掃描器控制分佈。此可在基於模擬之迴路中回饋SIM。最後,在步驟940處,可驗證(例如,經由度量衡)實際產品上效能且在基於實驗之迴路中回饋EXP。
以此方式,有可能藉由增加應用製程窗來擴展疊對(或其他所關注參數)子場校正之校正範圍,因此允許更高的MSD限制。可每一層執行此最佳化。
圖8及本文件中之對應段落描述一種將校正分佈劃分成複數個分量之方法;每一分量與具體層相關聯且分量之總和相加成所要控制分佈。此方法之問題可為在第一層與後續第二層的圖案化之間執行的處理步驟之可變性(不穩定性)可阻止與第一層相關聯之校正分佈之第一分量的有效(足夠準確)判定。倘若該(中間)處理步驟(例如,沈積、蝕刻、CMP及類似者)在基板上橫越晶粒(例如對應於子場)引起意外大或小的平面內失真分佈,則所做出的任何分解選擇可能易於導致非所要效能降低。
為了克服此問題,提出利用雙曝光技術,其中第一組晶粒(對應於一組子場)在第一曝光中曝光,且第二組晶粒(子場)在第二曝光中 曝光。通常,經曝光晶粒提供至基板上之單層。通常,每組晶粒與不同圖案化器件(例如,遮罩、倍縮光罩)相關聯。圖15a、圖15b、圖15c描繪一組子場及其對應晶粒以及其橫越(在此情況下為2個)倍縮光罩的分佈。圖15a描繪覆蓋已提供14個晶粒(虛線矩形)之倍縮光罩區域之曝光場1500。曝光場1500劃分成7個子場1501至1507。圖15b描繪經組態以曝光第一倍縮光罩1510上之4列經奇數編號晶粒{1、3、5、7}之第一組子場{1511、1513、1515、1517}。圖15c描繪經組態以曝光經偶數編號晶粒{2、4、6}之第二倍縮光罩1520上之第二組子場{1522、1524、1526}。藉由將晶粒分組為包含經奇數編號晶粒之第一組及經偶數編號晶粒之第二組,且提供此等組來分離倍縮光罩,沿著掃描方向1550之子場(及晶粒)之間的距離至少增加了子場的長度(沿著掃描方向1550之尺寸)。微影工具可例如在裝載第一倍縮光罩且因此將第一組晶粒曝露於基板之後曝光第一一或多個基板,且在第二曝光步驟中(在裝載第二倍縮光罩之後)將第二組晶粒曝露於已經曝光基板。
主要優點為微影工具必須應用(每一曝光步驟)相當少的空間波動控制分佈此較低頻率之控制分佈更佳適合於藉由微影工具內可用之載物台及透鏡致動器來準確實施。此描繪於圖16中。第一(初始)控制分佈與沿著掃描方向1550及所有子場1至7(由虛線分離)之效能參數變化1600相關聯。初始控制分佈(第一控制分佈)必須能夠足夠準確地追蹤表示例如典型鋸齒狀(疊對)變化之實線1600。若將在單次曝光中校正/控制參數變化1600,則微影工具致動器需要以第一空間頻率「f1」提供控制(例如疊對)。若採納雙曝光方法,則第一控制分佈分量1615(虛線)可經應用以校正橫越第一組子場(1、3、5、7)之效能參數變化1610(表示較低間距鋸齒 之實線),第一控制分佈(分量)在第一曝光步驟期間應用。包含於三角形峰之間的實線1610內之平坦線基本上意謂「不在乎」或應用顯著降低之控制要求;致動器可以任何方式表現,以便為下一個相關子場期間的致動做準備。第二曝光步驟中之致動器可接著經組態以校正或減少效能參數變化1620(實線),對應於第二控制分量分佈1625(虛線)。所得控制分佈(第一控制分佈分量及第二控制分佈分量之總和)有效地實現效能參數變化1600之校正/控制。第一控制分佈分量及第二控制分佈分量接著與比初始控制分佈更大之空間間距(例如,更小的空間頻率「f2」)相關聯。在將控制分佈分成兩個分量之情況下,校正之空間頻率「f2」則為「f1」/2(為「f1」的一半)。在需要進一步降低控制的所需空間頻率之情況下,提出進一步分成與超過兩個不同子場組相關聯之超過兩個控制分佈分量,且符合本發明之精神。舉例而言,可使用一組4個倍縮光罩,每一倍縮光罩包含與子場之具體佈局相關聯之具體晶粒組。在沿著掃描方向1550之晶粒之數目非常大(例如,>>7)的情況下,此可為相關的。
可觀測到,選擇個別(每一曝光)控制分佈分量1615及1625,使得其為連續的,且由於其各自涉及不同組之子場,因此比控制分佈在需要在僅一次曝光中(例如,對於所有子場)提供效能參數變化1600之校正的情況下將具有的頻率較低的頻率。個別控制分佈分量之所實現間距放寬及連續行為確保微影裝置(工具)之致動器(例如,透鏡及載物台控制基礎設施)對效能參數變化1600的更佳可校正性。
在另一實施方案中,將一組晶粒提供至一個倍縮光罩,且微影工具在第一曝光步驟中曝光一個倍縮光罩,使倍縮光罩或晶圓載物台移位一定量,使得在第二曝光步驟中在已提供晶粒之間將一組晶粒提供至 基板。優點為僅需要一個倍縮光罩,但此僅在微影工具設置有允許足夠大的移位(例如,至少對應於子場之長度)之晶圓(基板)或倍縮光罩載物台之情況下為可行的。此描繪於圖17中。倍縮光罩1700在第一曝光步驟開始時相對於基板1750定位於第一位置1710中,且在第二曝光步驟開始時定位於第二位置1720處。在此特定情況下,差異1720-1710與沿著掃描方向1550之子場1511的尺寸相同或接近之值對應。
在另一實施方案中,僅提供包含經奇數及偶數編號晶粒兩者的一個倍縮光罩(包含如圖15a中所描繪之所有晶粒),但代替在曝光之間切換或移位倍縮光罩(圖17),微影工具首先例如當經偶數編號晶粒定位於照明光束內時藉由關閉倍縮光罩遮擋(REMA)片來曝光經奇數編號晶粒,且在第二次曝光中曝光經偶數編號晶粒(再次藉由使用REMA來防止非所要晶粒曝光,在此情況下為經奇數編號晶粒)。
為了允許執行曝光場之子場之子集的曝光,微影工具可設置有經組態以接收將在一個曝光步驟中曝光之子場之佈局的控制介面。跳過子場可接著與封閉REMA設定相關聯,從而防止曝光未選擇的子場。
在一實施例中,提供一種用於控制用於在曝光場上曝光圖案之微影製程之方法,方法包含:獲得與橫越曝光場的效能參數之空間變化相關聯之初始空間分佈;將初始空間分佈分解成至少第一分量空間分佈及第二分量空間分佈,該第一分量空間分佈用於控制微影裝置以在第一曝光步驟中校正橫越第一複數個子場的效能參數之空間變化,該第二分量空間分佈用於控制微影裝置以在第二曝光步驟中校正橫越第二複數個子場之效能參數的空間變化,其中在其對應曝光步驟期間使用第一分量空間分佈及第二分量空間分佈來控制微影製程減少橫越曝光場之效能參數之空間變 化。
在一實施例中,第一複數個子場及第二複數個子場與圖案化器件(倍縮光罩、遮罩)上之晶粒之佈局相關聯。
在一實施例中,第一複數個子場與第一圖案化器件相關聯,且第二複數個子場與第二圖案化器件相關聯。
在一實施例中,第一複數個子場及第二複數個子場與圖案化器件(倍縮光罩、遮罩)上之晶粒之佈局相關聯,其中與圖案化器件相對於與第一複數個子場相關聯的基板之位置相關聯相比,第二複數個子場與圖案化器件相對於微影製程中使用之基板的不同位置相關聯。
在一實施例中,控制微影製程係控制在微影製程期間所使用之載物台及/或投影透鏡致動器。
在一實施例中,第一複數個子場與圖案化器件上之第一組晶粒相關聯,且第二複數個子場與該圖案化器件或另一圖案化器件上之第二組晶粒相關聯,其中第一組晶粒相對於圖案化器件的相對位置相對於第二組晶粒相對於該圖案化器件或另一圖案化器件之位置交錯。
在一實施例中,微影工具設置有控制介面,該控制介面經組態以接收與包含於曝光場內之子場之子集的定義相關聯之資訊,該子集與經安排以在曝光步驟中由微影工具曝光之子場相關聯。
本發明可用以將初始控制分佈(以校正橫越場內的複數個子場之效能參數之空間變化為目標)分解成多個分量。在此情況下,分解係基於將校正分成對與效能參數變化相關聯之層進行圖案化之第一曝光步驟及第二曝光步驟。第一曝光及第二曝光與不同組子場相關聯,例如橫越複數個倍縮光罩分佈的子場。控制分佈分量可進一步共同最佳化,以提供對 橫越所關注之場內的子場之效能參數之觀測到的空間變化之更佳校正。
在一實施例中,提供一種用於控制用於在曝光場上曝光圖案之微影製程之方法,方法包含:獲得與橫越曝光場的子場之第一層之效能參數的空間變化相關聯之初始空間分佈;將初始空間分佈分解成至少第一分量空間分佈及第二分量空間分佈,該第一分量空間分佈可用於控制微影裝置以校正效能參數之空間變化,該第二分量空間分佈可用於控制微影裝置以校正效能參數的空間變化;及與基於使用初始空間分佈以用於控制微影裝置來校正效能參數之空間變化相比,共同最佳化第一分量空間分佈及第二分量空間分佈以達成效能參數之空間變化的更佳校正,其中第一分量空間分佈與第一曝光相關聯以圖案化第一層,且第二分量空間分佈與第二曝光相關聯以圖案化第一層。
在一實施例中,第一分量空間分佈與關聯於第一曝光之第一倍縮光罩相關聯,且第二空間分佈與關聯於第二曝光之第二倍縮光罩相關聯。
在一實施例中,第一曝光與倍縮光罩上之第一組子場或晶粒相關聯,且第二曝光與該倍縮光罩或另一倍縮光罩上之第二組子場或晶粒相關聯。
在一實施例中,第一倍縮光罩及第二倍縮光罩包含與不適用或適用減少的控制要求之子場相關聯之第一晶粒區域及適用控制要求的第二晶粒區域。
在一實施例中,第一倍縮光罩上之第一晶粒區域與第二倍縮光罩上之第一晶粒區域不同的子場相關聯。
綜上所述,提出根據在基板上形成IC製造製程之部分的工 具、製程及/或層之間的共同最佳化將校正分佈劃分成複數個分量校正分佈之方法。此共同最佳化將經由對工具、製程及/或層之共同最佳化控制來提高實施(致動)校正之能力。舉例而言,校正之劃分可在製程之間,諸如在倍縮光罩寫入製程與掃描器控制製程之間。
可展示出,此方法將產生經改良疊對,且因此產生經提高良率。此外,最佳化倍縮光罩校正可減少對倍縮光罩之昂貴更新之需求(歸因於最佳化掃描器控制的靈活性,需要較少的倍縮光罩校正)。疊對子場校正範圍內之延伸增加倍縮光罩晶粒內指紋特徵之靈活性,且反過來將進一步增加疊對校正之潛力。有可能共同最佳化倍縮光罩寫入指紋特徵,使得有利於所有關鍵疊對最佳化器參數,從而使得在EPE、成像、CDU、疊對、聚焦及調平中之一或多者方面提高產品效能。進一步有可能將掃描器工作負荷分開,使得在任何單層中僅一部分校正範圍需要尋址,其中剩餘部分在一或多個後續層中尋址。
如先前所描述,且在圖4中所描繪,每一子場/晶粒之疊對展現需要對應鋸齒校正之鋸齒圖案。在晶粒或子場之間,所需疊對校正為高度不連續的,此對於在掃描器疊對控制中致動為非常困難的。基本上,在曝光期間存在極小時間量及空間可用於實施此大疊對誤差校正(例如,包含符號變化)。
現將描述解決此問題之其他提議。在此實施例中,提出判定每一子場之最佳化倍縮光罩校正,該校正在考慮到掃描器致動能力之情況下在整個場上方(例如,在至少一個方向上)進行最佳化。最佳化可使得在寫入倍縮光罩之後,與當前倍縮光罩校正策略相比,待校正之剩餘疊對誤差分佈可由掃描器控制基礎設施更佳(例如,更容易地)校正。
圖10概念地說明此實施例。圖10(a)說明典型的當前策略。黑色實線1000a、1000b各自說明場之兩個相鄰子場或晶粒(在此實例中非常類似)之倍縮光罩校正分佈。虛線/灰線1010說明在兩個子場上方之所得所需掃描器校正分佈(例如,對於展現鋸齒狀圖案或類似圖案之場)。可看出,此校正在子場之間為不連續的,且因此可由性質上為連續之控制系統(諸如在掃描操作期間之載物台控制)不充分地校正。
圖10(b)說明兩個相鄰場上方之經改良倍縮光罩校正分佈。可通過在場之兩個或更多個子場上方針對每一子場共同最佳化倍縮光罩校正分佈來判定此等倍縮光罩校正分佈;例如針對與基板/倍縮光罩平面平行的一個或兩個方向上之場的所有子場。在圖10(b)中,倍縮光罩校正分佈1020a、1020b為使得所需掃描器校正分佈1030現在更易於致動。舉例而言,第二倍縮光罩分佈1020b在其對應子場中導致(例如,疊對)誤差,在校正前述子場之後,該誤差可由掃描器自其立即狀態/組態更容易地校正,其中前述子場已經受倍縮光罩校正分佈1020a之影響。
在諸如此處所說明之具體實例中,在兩個相鄰子場上方之最佳化可產生至少一個過度補償的倍縮光罩校正分佈1020b,其與標稱校正相比基本上經反向,使得所得殘餘重疊誤差分佈傾向於在兩個子場上方展現平滑或更平滑的曲線,此將在掃描器內更好地致動。當然,此概念可擴展至超過兩個子場。
雖然在沿著掃描(Y)方向之子場/晶粒方面明顯相關,但亦應瞭解,此實施例亦可沿著隙縫(X)方向應用。在此方向上之掃描器校正能力更有限(例如,限於透鏡校正等),且因而,在X方向上執行此最佳化可存在額外益處。
如已描述,可藉由判定用於倍縮光罩寫入工具之具體倍縮光罩寫入校正來應用此倍縮光罩校正分佈。
此實施例可與前述實施例中之任一者組合。舉例而言,多個子場上方之倍縮光罩校正共同最佳化亦可與掃描器控制分佈最佳化(例如,使用關於圖5所描述的方法)共同最佳化。舉例而言,此可產生具有相互反向傾斜(對應於圖5(a))或反向方向階梯(對應於圖5(b))的相鄰線性倍縮光罩校正分佈。此外,多個子場上方之倍縮光罩校正共同最佳化亦可在多個層上方分解(例如,使用關於圖8所描述的方法)。
在一實施例中,揭示一種用於判定疊對誤差校正之方法,方法包含:獲得橫越複數個子場延伸之疊對誤差分佈;及橫越複數個子場中的至少兩個相鄰子場判定倍縮光罩校正,其特徵在於倍縮光罩校正係基於該相鄰子場之間的疊對誤差分佈之連續性之預期改良。在一實施例中,倍縮光罩校正經組態以藉由疊對誤差分佈之實質反轉及/或過度校正來達成橫越該等相鄰子場之疊對誤差分佈的連續性。
通常,在處理之後,基板(晶圓)展示橫越晶圓表面之某一全域疊對指紋特徵。此全域指紋特徵經常在晶圓之周邊或邊緣區域最為明顯。此全域指紋特徵由合適的模型來模型化,且進一步使用「進階製程控制」(亦進一步稱為APC)控制方法來控制,該等控制方法通常為批量控制解決方案,其中在第一批次晶圓中觀察到之全域疊對指紋特徵用以校正後續批次之基板的處理(曝光)。可在以引用之方式併入本文中之美國專利申請公開案第US20120008127號中找到關於APC的更多資訊。典型APC實施方案涉及對基板上之度量衡特徵進行之週期性量測,以監測及校正與一或多個處理裝置相關聯的漂移。在批量(經常縮寫為run2run)APC控制 中,根據每一批次經量測之一組基板(例如,晶圓)估計指紋特徵(例如,疊對指紋特徵)。將來自此等基板之一或多個經量測場擬合至指紋特徵,且接著通常將此指紋特徵與先前指紋特徵混合,以使用指數加權移動平均(EWMA)濾波器來產生新指紋特徵估計。可替代地,指紋特徵可簡單地週期性地更新,或甚至量測一次且保持不變。此等方法中之一些或全部之組合亦為可能的。接著,經由最佳化工作運行此計算之結果,以便為下一批次設定一或多個掃描器致動器及/或其他工具致動器/設定,以減少或最小化疊對。
除了全域APC疊對校正之外,如已描述,可基於更高密度疊對資料來界定特定於子場的校正。舉例而言,圖4中所說明之鋸齒形疊對誤差分佈可能需要每一子場的分開地校正。此可涉及以下各者中之一或多者:子場層級處之掃描器校正、子場層級處的倍縮光罩校正、橫越製程之多個層之每一子場的校正分佈。因此,在同一組晶圓(批次)上可存在用於疊對控制之至少兩個主動控制基礎設施。存在干擾之兩種控制工作狀態的風險之典型情況處於邊緣晶粒之控制中,該等邊緣晶粒經受與全域指紋特徵相關聯的大邊緣效應及與晶粒內應力相關聯之顯著晶粒內效應的影響。
較佳的為,兩個控制系統(全域或APC控制迴路及基於子場之控制迴路)的干擾程度不會導致疊對誤差之過度校正或校正不足中之任一者(例如,兩個控制迴路彼此衝突)。為避免此情況,在另一實施例中提出,全域校正及子場校正各自在共同最佳化中判定;例如,意識到應用全域校正或應用子場校正。此方法可應用於整個基板上,或視情況僅用於某些區域,諸如邊緣場或邊緣晶粒。
圖11為描述根據一實施例之此控制方法後之原理的流程圖。接收全域(例如,APC)量測及/或校正資料1100及子場(例如,晶粒內)量測及/或校正資料1110。將晶粒內資料1115分解成子場分量資料1120及全域分量資料1130。子場分量資料1120可根據整個分量或僅根據其自全域分量資料1130之變化來描述此資料。子場分量資料1120及全域分量資料1130用於判定較佳控制策略之共同最佳化步驟1140。此步驟可包含判定相對於子場控制之全域(例如,APC)校正之適當的加權;例如使得在共同最佳化校正之後的疊對誤差(殘差)最小化及/或係根據動態及靜態校正之最佳化策略。因此,共同最佳化步驟1140產生子場校正1150及全域校正,後者自全域校正資料1100減去(使得其不致動兩次),以獲得全域(批量)校正1160(例如,作為APC控制迴路之一部分)。
圖12(a)概念地說明根據一實施例之分解步驟1115。將橫越晶圓指紋特徵1200分解成全域指紋特徵1210(例如,可由APC控制器校正)及子場分量1220(例如,可由子場控制基礎設施校正)。
圖12(b)概念地說明基於在子場基礎上擬合殘差之前擬合全域模型之實例疊對校正策略。藉由自橫越晶圓指紋特徵1240提取場內(包括晶粒內)分量1250獲得全域晶圓指紋特徵1260。此乾淨全域晶圓指紋特徵1260用作APC控制基礎設施之輸入,而場內內容1250用於子場控制基礎設施。可替代地,全域疊對誤差指紋特徵之邊緣指紋特徵之部分可分配給子場控制基礎設施,這使APC控制基礎設施要校正的疊對誤差內容變少。此在圖12(c)中說明,其中子場控制分佈1270歸因於現在包括於其中之邊緣效應而展示更大變化(橫越晶圓),此使得全域分量1280變化較小。
因而,揭示一種用於微影製程之共同最佳化全域及子場控 制策略之方法,方法包含:a)獲得全域及晶粒內量測資料,b)將晶粒內量測資料分解成全域分量資料及子場分量資料,c)使用全域分量資料、子場分量資料及已知掃描器控制特性來判定共同最佳化控制策略,及d)基於共同最佳化控制策略提供全域控制輸入及子場控制輸入。共同最佳化控制策略之判定可包含判定全域分量資料及子場分量資料或其校正之適當的加權。
如圖4中所展示,通常晶粒內應力產生沿著掃描方向之疊對誤差之明顯鋸齒分佈。用以校正此疊對分佈的掃描器之控制分佈(在曝光場內橫越多個晶粒重複)亦反映此典型鋸齒形狀。此情況在圖13中由展示大幅度控制分佈之線說明(典型地在倍縮光罩與晶圓載物台之間施加週期性同步信號)。剩餘疊對誤差(MA=移動平均值)由小幅度虛線的曲線圖(幅度大約為2nm)說明。在掃描方向(本例中為Y方向)上之動態誤差(MSD=移動標準偏差)由小幅度頂部(粗線)曲線圖說明。MSD亦經常稱為「衰落」,且基本上可視為具有使投影圖案之空中影像模糊且導致對比度損失的效果之倍縮光罩與晶圓載物台之間的高頻振動。空中影像之對比度降低之此效果經常與所關注的特徵(圖案)之尺寸變化相關聯。尺寸之此變化為特定於方向的(例如,掃描方向上之MSD-Y主要引起Y方向上之尺寸變化),且通常尺寸變化與MSD之量值成比例。因此,橫越場或晶粒之MSD-Y變化引起橫越晶粒之例如接觸孔的尺寸變化。在2維結構(如接觸孔)之情況下,此自身表現為2維結構之X尺寸及Y尺寸的場座標相依縱橫比。在接觸孔之情況下,X尺寸與Y尺寸之間的比率通常稱為接觸孔之橢圓率。因此,如圖13中所描繪之MSD-Y變化由於強晶粒內疊對校正(控制分佈)將引起晶粒內(當然及場內)接觸孔橢圓率變化。
圖14說明根據本發明之適合於至少部分地校正晶粒內接觸孔變形變化之方法。首先,基於對所需控制分佈之分析,考慮MSD-Y分佈(以校正疊對誤差分佈)。其次,計算(例如使用微影模擬工具)或量測MSD-Y變化對接觸孔橢圓率變化之影響。第三,設計MSD-X分佈,使得預期接觸孔橢圓率返回至標稱值。基本上引入MSD,其至少具有垂直於掃描方向的分量,使得接觸孔在X方向上之尺寸受到影響。此可藉由例如在倍縮光罩與晶圓載物台之間的X方向上引入高頻振盪來達成,該振盪具有取決於場座標之幅度(例如,調諧幅度使得MSD-X層級等於掃描引起的MSD-Y層級)。可替代地,投影透鏡像差可藉由組態引起場相依MSD-X分量之透鏡操控器來調諧,例如藉由引起在X方向上之場座標相依圖案移位)。結果為接觸孔將不再為橢圓形的。然而,歸因於MSD-X及Y之存在,接觸孔之半徑可偏離標稱值,從而引起接觸孔半徑沿著掃描方向的變化。在此變化超過某一容差之情況下,可能需要第四步驟:在掃描操作期間應用場座標相依劑量校正,以將接觸孔的尺寸保持在目標處。可替代地,可改變掃描速度以調諧每一場點之積聚劑量,或可動態地調整z位置(位置)以將接觸孔半徑保持在目標處。
代替使用微影裝置控制基礎設施(透鏡及/或載物台同步)來補償沿著掃描方向之圖案變形變化,圖案器件(倍縮光罩)亦可經組態以預校正衰落對圖案幾何保真度的影響。舉例而言,倍縮光罩可包含沿著掃描方向定向且包含接觸孔特徵之複數個晶粒。藉由預補償倍縮光罩上之接觸孔之橢圓率變化,有可能在曝光抗蝕劑中的接觸孔之後獲得標稱成形的接觸孔。接著,需要基於對所關注之所有晶粒的沿著掃描方向之衰落引起的橢圓率變化(指紋特徵)之瞭解來製造倍縮光罩。此可藉由改變倍縮光罩上 之特徵(接觸孔)之形狀或OPC方法(例如,在一或多個方向上置放輔助特徵)來達成。此外,可選擇接觸孔之大小,以便在將其曝露於基板(抗蝕劑)上之感光層之後,進一步控制接觸孔的半徑。
對MSD-X之控制通常為控制微影裝置之子場類型的部分,因為MSD-X(t)控制信號可為特定於晶粒的。
除控制態樣之外,亦可基於已知動力學產生度量衡方案;例如基於歸因於掃描引起的衰落(MSD-Y)而導致之特徵尺寸之已知變化。圖13展示MSD-Y層級高度取決於晶粒內之位置。因此,對衰落引起的尺寸變化之任何控制將受益於與沿著掃描方向之位置相關聯的度量衡資料,其中預期MSD-Y幅度為顯著的。在一實施例中,提出在掃描引起的MSD導致大於臨限值之尺寸偏差的一或多個位置處提供CD(臨界尺寸)量測。臨限值可與度量衡工具在判定CD值時之準確度相關聯,例如臨限值可為0.2nm。
在一實施例中,揭示一種組態與在基板上製造半導體器件相關聯之製程之方法,方法包含:使用圖案化製程判定提供給基板的特徵之尺寸之間的比率之預期變化;及組態圖案化製程及/或用於圖案化製程中之圖案化器件,以至少部分地校正橫越基板上的區域之比率之預期變化。
預期變化可歸因於藉由例如在一個方向上具有僅MSD變化而引入之非對稱衰落變化(歸因於載物台動力學及/或透鏡像差變化的不對稱性)。
尺寸之間的比率例如可為接觸孔之橢圓率或幾何形狀(如線或空間)之縱橫比。
圖案化製程之組態可包括調整載物台同步(在倍縮光罩載物台「RS」及晶圓載物台「WS」之間),使得MSDx(t)耦合至MSDy(t),且向量[MSD-X(t),MSD-Y(t)]始終與X軸成相同的角度。
圖案化製程之組態亦可涉及在掃描期間應用波前變化,使得所引入之波前不對稱性WA(t)抵消MSDx/y(t)對尺寸之間的比率之影響。
圖案化製程之組態可進一步包括以使特徵尺寸返回至目標(例如,避免CD變化)為目標之劑量及/或掃描速度控制。
組態圖案化製程可進一步涉及載物台控制態樣,例如:提供滿足以下準則之載物台控制分佈(x(t)、y(t)、z(t)):a)比率變化(橢圓率接觸孔)應為最小,且b)CD保持在目標處(在需要更多劑量以使尺寸返回至目標時掃描更慢),且c)對z(t)進行組態以增加MSD-Z(對比度)項,其中除了曝光特徵的恆定尺寸標定之外,不引入(或很少引入)MSD-X/Y以得到橫越晶粒及/或曝光場之恆定對比度。
圖案化器件之組態可涉及特徵尺寸之場座標相依比率,該場座標相依比率抵消歸因於圖案化製程侷限性而導致的比率之預期變化。
圖案化器件之組態可涉及場座標相依OPC,其經組態以選擇性地使特徵變形,使得在圖案化製程之後,尺寸之間的比率不存在變化。
圖案化器件之組態可涉及場座標相依倍縮光罩傳輸,以使提供給晶圓的特徵之CD保持恆定(除了MSD-X/Y平衡之外;因此由掃描器控制施加的對稱性及由倍縮光罩傳輸調諧校正之CD偏移)。
可替代地,對於每一晶粒(子場),可導出控制分佈,該控 制分佈在衰落引起的衰落(MSD-Y)CD變化與疊對變化之間提供更佳平衡。接著,如圖13中所描繪之控制分佈根據每一子場最佳化,以提供最小可能EPE,EPE為包含疊對及CD變化效果兩者之聚合度量。提出對控制分佈進行組態,使得歸因於衰落分量而導致之所得CD變化及所得疊對殘餘誤差(MA分量)給出所關注之特徵的最小EPE誤差。
在另一實施例中,揭示一種判定與經受圖案化製程之基板上之曝光場相關聯的取樣方案之方法,方法包含:導出歸因於在圖案化製程期間圖案化器件及基板的移動之間的同步誤差之時間依賴性而導致的橫越曝光場之圖案尺寸標定誤差及/或圖案定位誤差之預期分佈;且基於使用根據取樣方案的圖案尺寸及/或圖案位置之量測來表徵預期分佈之所要準確度來判定取樣方案。
在以下經編號條項之清單中揭示本發明之其他實施例:
1.一種用於判定用於控制用於在曝光場上曝光圖案之微影製程之分量空間分佈的方法,方法包含:獲得描述橫越曝光場之至少子場之第一層的效能參數之空間變化之初始空間分佈;及將初始空間分佈分解成與子場相關之分量空間分佈,該等分量空間分佈包含至少第一分量空間分佈及第二分量空間分佈;及共同最佳化分量空間分佈以最小化效能參數中之誤差;其中至少該第一分量空間分佈可由微影裝置使用,以在於第一層中之該曝光場上曝光圖案時控制微影製程;及與初始空間分佈相比,可藉由微影裝置更準確地致動該第一分量空間分佈。
2.如條項1之方法,其中效能參數包含或係關於第一層相對於一或多個其他層之疊對。
3.如條項1或2之方法,其中效能參數包含邊緣置放誤差。
4.如任一前述條項之方法,其中該第一分量空間分佈限制為具有範圍小於該初始空間分佈的總範圍之值。
5.如任一前述條項之方法,其中該第一分量空間分佈經最佳化為可由微影裝置致動。
6 如任一前述條項之方法,其中該第一分量空間分佈用於控制微影裝置內之載物台定位控制或微影裝置內的投影透鏡控制中之一或兩者。
7.如任一前述條項之方法,其中該第二分量空間分佈係關於微影製程中使用之倍縮光罩的倍縮光罩校正,以用於曝光第一層中之圖案。
8.如條項7之方法,其中該第二分量空間分佈用於控制倍縮光罩之製造及/或修改。
9.如條項7或8之方法,其中該第二分量空間分佈用於控制倍縮光罩上的特徵之相對定位。
10.如條項7至9中任一項之方法,其中第二分量空間分佈比該第一分量空間分佈之階次低。
11.如條項7至10中任一項之方法,其中該第二分量空間分佈為線性或階梯分佈。
12.如條項7至11中任一項之方法,其中該分解步驟進一步包含將初始空間分佈分解成層分量空間分佈,以便基於該初始空間分佈在複數個層上方分佈控制,該複數個層包含該第一層。
13.如條項7至11中任一項之方法,其包含將該等分量空間分佈中 之至少一者分解成層分量空間分佈,該等層分量空間分佈各自用於控制複數個層中的一具體者之該微影製程,該複數個層包含該第一層,以便基於該等分量空間分佈中之至少一者在複數個層上方分佈控制。
14.如條項11之方法,其中分解成層分量空間分佈的該等分量空間分佈中之至少一者包含第一分量空間分佈。
15.如條項1至6中任一項之方法,其中該分解步驟包含將初始空間分佈分解成該等分量空間分佈,以便基於該初始空間分佈在複數個層上方分佈控制,該複數個層包含該第一層,及該第二分量空間分佈可由微影裝置使用,以在於第二層中之該曝光場上曝光圖案時控制微影製程,且其中與初始空間分佈相比,可藉由微影裝置更準確地致動該第二分量空間分佈。
16.如條項7至15中任一項之方法,其包含在執行微影製程時使用多焦點成像;及基於與初始空間分佈及/或適當的分量空間分佈相關聯之最大允許移動標準偏差,在圖案之該曝光期間最佳化照明設定。
17.如條項16之方法,其中判定該最大允許移動標準偏差,且每一層最佳化該等照明設定。
18.如任一前述條項之方法,其包含設計優質函數,該優質函數至少根據與第一分量空間分佈相關的一或多個第一校正項及與第二分量空間分佈相關之一或多個第二校正項來描述效能參數;及反覆地對優質函數進行求解以判定該第一分量空間分佈及該第二分量空間分佈。
19.如條項1至17中任一項之方法,其中將該初始空間分佈分解成 複數個候選第一分量空間分佈及候選第二分量空間分佈;且該方法包含根據效能度量判定第一分量空間分佈與候選第二分量空間分佈之最佳組合。
20.如任一前述條項之方法,其中該共同最佳化步驟包含與初始空間分佈相關聯之移動平均值、移動標準偏差或移動平均值及移動標準偏差的組合之最佳化。
21.如條項20之方法,其中移動平均值、移動標準偏差或移動平均值及移動標準偏差之組合的該最佳化相對於最小化標識為具有小製程窗之一或多個特徵中之誤差來判定。
22.如任一前述條項之方法,其中該初始空間分佈來源於已知晶粒內應力指紋特徵。
23.如任一前述條項之方法,其中該第一分量空間分佈屬於三階或更高。
24.如任一前述條項之方法,其包含基於該等分量空間分佈執行該微影製程。
25.如任一前述條項之方法,其中每一子場係關於單一晶粒或其功能區域。
26.如任一前述條項之方法,其中執行該方法以判定曝光場之每一子場的該等分量空間分佈之相應集合。
27.一種包含程式指令之電腦程式,該等程式指令可操作以在運行於合適裝置上時執行如條項1至26中任一項之方法。
28.一種非暫時性電腦程式載體,其包含如條項27之電腦程式。
29.一種微影裝置,其可操作以執行如條項1至26中任一項之方法。
30.一種用於判定與曝光場內之子場相關聯的疊對校正分佈之方法,方法包含:獲得橫越至少子場之第一層與第二層之間的疊對誤差分佈;及判定用於製造或修改在圖案化第一層中使用之倍縮光罩之第一疊對校正分佈及用於圖案化第一層中使用的微影裝置之第二疊對校正分佈。
31.一種用於判定疊對校正分佈之方法,方法包含:獲得橫越曝光場內之子場的第一層與第二層之間的疊對誤差分佈;判定第一層之第一疊對校正分佈及第二層之第二疊對校正分佈,其中第一校正分佈及第二校正分佈相加以減少橫越子場的疊對誤差分佈,且共同最佳化以達成橫越第一層及第二層兩者之所要成像品質。
32.一種用於判定疊對誤差校正之方法,方法包含:獲得沿著掃描方向橫越複數個子場延伸之疊對誤差分佈;及橫越複數個子場中之至少兩個相鄰子場判定倍縮光罩校正,其特徵在於倍縮光罩校正係基於該等相鄰子場之間的疊對誤差分佈之連續性之預期改良。
33.一種用以為APC控制器提供APC控制輸入且為微影裝置提供子場控制輸入之方法,方法包含:a)獲得橫越基板經量測之參數的指紋特徵;b)將指紋特徵分解成全域分量及子場分量;c)使用微影裝置之全域分量及子場分量及控制特性以判定共同最佳化控制策略;及d)基於共同最佳化控制策略來判定APC控制輸入及子場控制輸入。
34.一種用於控制用於在曝光場上曝光圖案之微影製程之方法,方 法包含:獲得與橫越曝光場之子場之第一層的效能參數之空間變化相關聯之初始空間分佈;將初始空間分佈分解成:可用於控制微影裝置以校正效能參數之空間變化之至少第一分量空間分佈;及可用於控制該微影裝置或另一裝置以校正效能參數的空間變化之第二分量空間分佈;及與基於使用初始空間分佈來控制微影裝置來校正效能參數之空間變化相比,共同最佳化第一分量空間分佈及第二分量空間分佈以達成效能參數之空間變化的更佳校正。
35.一種用於判定疊對誤差校正之方法,方法包含:獲得橫越複數個子場延伸之疊對誤差分佈;及橫越複數個子場中之至少兩個相鄰子場判定倍縮光罩校正;其特徵在於:倍縮光罩校正係基於該等相鄰子場之間的疊對誤差分佈之連續性之預期改良。
36.如條項35之方法,其中倍縮光罩校正經組態以藉由該疊對誤差分佈之至少一部分的實質反轉及/或過度校正來達成橫越該等相鄰子場之疊對誤差分佈之連續性。
37.如條項35或36之方法,其中針對掃描方向上及/或隙縫方向上之至少兩個相鄰場判定倍縮光罩校正。
38.一種用於判定微影製程之共同最佳化全域及子場控制策略之方法;其包含:a)獲得全域量測資料及晶粒內量測資料; b)將晶粒內量測資料分解成全域分量資料及子場分量資料;c)使用全域分量資料、子場分量資料及已知掃描器控制特性來判定共同最佳化控制策略;及d)基於共同最佳化控制策略提供全域控制輸入及子場控制輸入。
39.如條項38之方法,其中該子場分量資料根據自其對應之全域分量資料之變化來描述。
40.如條項38或39之方法,其中步驟c)包含判定全域分量資料及子場分量資料之適當相對加權及/或其校正的適當相對加權。
41.如條項38、39或40之方法,其中全域量測資料之邊緣指紋特徵的至少部分分配為經由子場控制輸入來校正。
42.一種組態與基板上之半導體器件之製造相關聯的製程之方法,方法包含:使用圖案化製程判定提供給基板之特徵的尺寸之間的比率之預期變化;及組態圖案化製程及/或用於圖案化製程中之圖案化器件,以至少部分地校正橫越基板上之區域的比率之預期變化,其中組態包含以下各者中之一或多者:平衡基板沿著掃描方向及非掃描方向的位置控制,平衡用於圖案化製程中之投影透鏡之像差及平衡結構沿著第一方向及第二方向的尺寸。
43.一種判定與經受圖案化製程之基板上之曝光場相關聯的取樣方案之方法,方法包含:導出歸因於在圖案化製程期間圖案化器件及基板之移動之間的同步誤差之時間依賴性而導致的橫越曝光場之圖案尺寸標定誤差及/或圖案定 位誤差的預期分佈;及基於使用根據取樣方案之圖案尺寸及/或圖案位置之量測來表徵預期分佈的所要準確度來判定取樣方案。
44.一種用於控制微影裝置之方法,該微影裝置經組態以在至少包含子場之基板上圖案化曝光場,方法包含:獲得初始空間分佈,該初始空間分佈與關聯於橫越曝光場之至少子場的基板上之第一層之效能參數的空間變化相關聯;及將初始空間分佈分解成至少第一分量空間分佈及第二分量空間分佈,該第一分量空間分佈用於以第一空間尺度控制微影裝置,該第二分量空間分佈用於以與子場之大小相關聯之第二空間尺度控制微影裝置,其中分解包含基於校正橫越子場的效能參數之空間變化來共同最佳化第一分量空間分佈及第二分量空間分佈。
45.如條項44之方法,其中共同最佳化進一步基於成像品質度量。
46.如條項44或45之方法,其中:該獲得初始空間分佈步驟包含獲得晶粒內量測資料及全域量測資料,該晶粒內量測資料包含至少該初始空間分佈;該分解步驟包含將晶粒內量測資料分解成包含全域分量之該第一分量空間分佈及包含子場分量之該第二分量空間分佈;及該共同最佳化步驟包含使用全域分量、子場分量及已知微影裝置控制特性。
47.如條項46之方法,其進一步包含基於共同最佳化全域分量及子場分量將全域控制輸入及子場控制輸入提供給微影裝置。
48.如條項44至47中任一項之方法,其中效能參數包含或係關於第一層相對於一或多個其他層之疊對。
49 如條項44至48中任一項之方法,其中效能參數包含邊緣置放誤差。
50.如條項44至49中任一項之方法,其中該第一分量空間分佈限制為具有範圍小於包含於該初始空間分佈內的值之範圍之值。
51.如條項44至50中任一項之方法,其中共同最佳化進一步基於使該第一分量空間分佈位於微影裝置之可致動範圍內。
52.如條項44至51中任一項之方法,其進一步包含獲得至少根據與第一分量空間分佈相關之一或多個第一校正項及與第二分量空間分佈相關的一或多個第二校正項來描述效能參數之校正的優質函數,且其中共同最佳化係基於反覆地對優質函數進行求解以判定該第一分量空間分佈及該第二分量空間分佈。
53.如條項44至52中任一項之方法,其中該共同最佳化進一步基於與初始空間分佈相關聯之移動平均值、移動標準偏差或移動平均值及移動標準偏差的組合之最佳化。
54.如條項44至53中任一項之方法,其中該初始空間分佈來源於已知晶粒內應力指紋特徵。
55.如條項44至54中任一項之方法,其中子場係關於單一晶粒或其功能區域。
56 如條項44至55中任一項之方法,其中該第一分量空間分佈用於控制微影裝置內之載物台定位控制或微影裝置內的投影透鏡控制中之一或兩者。
57.一種包含程式指令之電腦程式,該等程式指令可操作以在運行於合適裝置上時執行如條項44至56中任一項之方法。
58.一種非暫時性電腦程式載體,其包含如條項57之電腦程式。
59.如條項34之方法,其中第一分量空間分佈與第一曝光相關聯以圖案化第一層,且第二分量空間分佈與第二曝光相關聯以圖案化第一層。
60.如條項59之方法,其中第一分量空間分佈與關聯於第一曝光之第一倍縮光罩相關聯,且第二空間分佈與關聯於第二曝光的第二倍縮光罩相關聯。
61.如條項59之方法,其中第一曝光與倍縮光罩上之第一組子場或晶粒相關聯,且第二曝光與該倍縮光罩或另一倍縮光罩上的第二組子場或晶粒相關聯。
62.如條項60之方法,其中第一倍縮光罩及第二倍縮光罩包含與不適用或適用減少的控制要求之子場相關聯之第一晶粒區域及適用控制要求的第二晶粒區域。
63.如條項62之方法,其中第一倍縮光罩上之第一晶粒區域與第二倍縮光罩上的第一晶粒區域不同之子場相關聯。
64.一種用於控制用於在曝光場上曝光圖案之微影製程之方法,方法包含:獲得與橫越曝光場的效能參數之空間變化相關聯之初始空間分佈;將初始空間分佈分解成至少第一分量空間分佈及第二分量空間分佈,該第一分量空間分佈用於控制微影裝置以在第一曝光步驟中校正橫越第一複數個子場的效能參數之空間變化,該第二分量空間分佈用於控制微影裝置以在第二曝光步驟中校正橫越第二複數個子場之效能參數的空間變化,其中在其對應曝光步驟期間使用第一分量空間分佈及第二分量空間分佈來控制微影製程減少橫越曝光場之效能參數之空間變化。
65.如條項64之方法,其中第一複數個子場及第二複數個子場與圖 案化器件(諸如倍縮光罩或遮罩)上的晶粒之佈局相關聯。
66.如條項64或65之方法,其中第一複數個子場與第一圖案化器件相關聯,且第二複數個子場與第二圖案化器件相關聯。
67.如條項64或65之方法,其中第一複數個子場及第二複數個子場與圖案化器件上之晶粒的佈局相關聯,其中與圖案化器件相對於與第一複數個子場相關聯之基板之位置相比,第二複數個子場與圖案化器件相對於微影製程中使用的基板之不同位置相關聯。
68.如條項64至67中任一項之方法,其中控制微影製程為控制在微影製程期間所使用之微影裝置的載物台及/或投影透鏡致動器。
69.如條項64或65之方法,其中第一複數個子場與圖案化器件上之第一組晶粒相關聯,且第二複數個子場與該圖案化器件或另一圖案化器件上的第二組晶粒相關聯,其中第一組晶粒相對於圖案化器件之相對位置相對於第二組晶粒相對於該圖案化器件或另一圖案化器件之位置交錯。
70.一種包含控制介面之微影工具,其中控制介面經組態以接收與包含於曝光場內之子場的子集之定義相關聯之資訊,該子集與經安排以在曝光步驟中由微影工具曝光之子場相關聯。
儘管已描述呈實體倍縮光罩形式的圖案化器件,但本申請案中之術語「圖案化器件」亦包括傳送呈數位形式之圖案的例如待結合可程式化圖案化器件使用之資料產品。
儘管上文可能已經特定地參考在光學微影之內容背景中對本發明之實施例的使用,但應瞭解,本發明可用於其他應用(例如壓印微影)中,且在內容背景允許之情況下,不限於光學微影。在壓印微影中,圖案化器件中之構形界定產生於基板上之圖案。可將圖案化器件之構形壓 入至經供應至基板之抗蝕劑層中,在基板上,抗蝕劑藉由施加電磁輻射、熱、壓力或其組合來固化。在抗蝕劑固化之後將圖案化器件移出抗蝕劑,從而在其中留下圖案。
關於微影裝置所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如具有為或為約365、355、248、193、157或126nm的波長)及極紫外線(EUV)輻射(例如具有在5至20nm之範圍內之波長)以及粒子束,諸如離子束或電子束。
術語「透鏡」在內容背景允許之情況下可指各種類型的光學組件中之任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。
具體實施例之前述描述將充分地揭露本發明的一般性質,使得在不脫離本發明之一般概念的情況下,其他人可藉由應用此項技術之技能範圍內之知識針對各種應用而容易地修改及/或調適此等具體實施例,而無需進行不當實驗。因此,基於本文中所呈現之教示及指導,此等調適及修改意欲在所揭示的實施例之等效者的涵義及範圍內。應理解,本文中之措辭或術語係出於藉由實例來描述而非限制之目的,以使得本說明書的術語或措辭將由熟習此項技術者按照該等教示及指導進行解譯。
本發明之廣度及範疇不應受上述例示性實施例中之任一者限制,而應僅根據以下申請專利範圍及其等效者來界定。
500:所要校正
510:倍縮光罩子場校正分量
520:掃描器子場分量
530:所要校正
540:階梯函數倍縮光罩子場校正分量
550:高階掃描器子場分量

Claims (17)

  1. 一種用於控制一微影裝置之方法,該微影裝置經組態以在包含至少一子場之一基板上圖案化一曝光場(exposure field),該方法包含:獲得一初始空間分佈(initial spatial profile),該初始空間分佈與橫越該曝光場之至少該子場與該基板上之一第一層相關聯的一效能參數之一空間變化相關聯;及將該初始空間分佈分解成:至少一第一分量(component)空間分佈及一第二分量空間分佈,該第一分量空間分佈用於以一第一空間尺度控制一微影裝置,該第二分量空間分佈用於以與該子場之一大小相關聯的一第二空間尺度控制該微影裝置,其中該分解包含基於校正橫越該子場之該效能參數之該空間變化來共同最佳化(co-optimizing)該第一分量空間分佈及第二分量空間分佈。
  2. 如請求項1之方法,其中該共同最佳化進一步基於一成像品質度量。
  3. 如請求項1之方法,其中:該獲得一初始空間分佈步驟包含獲得晶粒內量測資料(intra-die measurement data)及全域量測資料(global measurement data),該晶粒內量測資料包含至少該初始空間分佈;該分解步驟包含將該晶粒內量測資料分解成包含一全域分量之該第一分量空間分佈及包含一子場分量之該第二分量空間分佈;及該共同最佳化步驟包含使用該全域分量、子場分量及已知微影裝置 控制特性。
  4. 如請求項3之方法,其進一步包含基於該共同最佳化全域分量及子場分量將一全域控制輸入及一子場控制輸入提供給該微影裝置。
  5. 如請求項1之方法,其中該效能參數包含或係關於該第一層相對於一或多個其他層之疊對。
  6. 如請求項1之方法,其中該效能參數包含邊緣置放誤差(edge placement error)。
  7. 如請求項1之方法,其中該第一分量空間分佈限於具有一範圍小於包含於該初始空間分佈內之值的一範圍之值。
  8. 如請求項1之方法,其中該共同最佳化進一步基於使該第一分量空間分佈位於該微影裝置之一可致動範圍內。
  9. 如請求項1之方法,其進一步包含獲得根據至少與該第一分量空間分佈相關之一或多個第一校正項及與該第二分量空間分佈相關的一或多個第二校正項來描述該效能參數之該校正的一優質函數(merit function),且其中該共同最佳化基於反覆地對該優質函數進行求解以判定該第一分量空間分佈及該第二分量空間分佈。
  10. 如請求項1之方法,其中該共同最佳化進一步基於與該初始空間分佈相關聯之移動平均值、移動標準偏差或移動平均值及移動標準偏差的一組合之最佳化。
  11. 如請求項1之方法,其中該初始空間分佈來源於一已知晶粒內應力指紋特徵。
  12. 如請求項1之方法,其中該子場係關於一單一晶粒或其功能區域。
  13. 如請求項1之方法,其中該第一分量空間分佈用於控制該微影裝置內之載物台定位控制或該微影裝置內的投影透鏡控制中之一或兩者。
  14. 如請求項1之方法,其中該第一分量空間分佈與一第一曝光相關聯以圖案化該第一層,且該第二分量空間分佈與一第二曝光相關聯以進一步圖案化該第一層。
  15. 如請求項14之方法,其中該第一分量空間分佈與關聯於該第一曝光之一第一倍縮光罩(reticle)相關聯,且該第二空間分佈與關聯於該第二曝光之一第二倍縮光罩相關聯。
  16. 一種包含程式指令之電腦程式,該等程式指令可操作以在運行於一合適裝置上時執行如請求項1之方法。
  17. 一種非暫時性電腦程式載體,其包含如請求項16之電腦程式。
TW109111136A 2019-04-04 2020-04-01 微影製程之子場控制及相關聯裝置 TWI731641B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
EP19167211.2 2019-04-04
EP19167211 2019-04-04
EP19172479.8 2019-05-03
EP19172479.8A EP3734366A1 (en) 2019-05-03 2019-05-03 Sub-field control of a lithographic process and associated apparatus
EP19177106 2019-05-28
EP19177106.2 2019-05-28
EP19192433 2019-08-20
EP19192433.1 2019-08-20

Publications (2)

Publication Number Publication Date
TW202105055A TW202105055A (zh) 2021-02-01
TWI731641B true TWI731641B (zh) 2021-06-21

Family

ID=69770908

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109111136A TWI731641B (zh) 2019-04-04 2020-04-01 微影製程之子場控制及相關聯裝置

Country Status (6)

Country Link
US (2) US11662666B2 (zh)
JP (1) JP7288974B2 (zh)
KR (2) KR102622405B1 (zh)
CN (1) CN113678063A (zh)
TW (1) TWI731641B (zh)
WO (1) WO2020200635A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11687010B2 (en) 2020-02-21 2023-06-27 Onto Innovation Inc. System and method for correcting overlay errors in a lithographic process
EP4036646A1 (en) * 2021-01-29 2022-08-03 ASML Netherlands B.V. Metrology methods and appratuses

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200746343A (en) * 2005-10-26 2007-12-16 Micronic Laser Systems Ab Platforms, apparatuses, systems and methods for processing and analyzing substrates
US20180314168A1 (en) * 2015-10-19 2018-11-01 Asml Netherlands B.V. Method and apparatus to reduce effects of nonlinear behavior

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7403834B2 (en) * 2003-05-08 2008-07-22 Regents Of The University Of California Methods of and apparatuses for controlling process profiles
US7016013B2 (en) 2004-01-13 2006-03-21 Asml Netherlands B.V. Modulated lithographic beam to reduce sensitivity to fluctuating scanning speed
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
DE102005005591B3 (de) 2005-02-07 2006-07-20 Infineon Technologies Ag Verfahren zur Optimierung der Geometrie von Strukturelementen eines Musters eines Schaltungsentwurfs für eine Verbesserung der optischen Abbildungseigenschaften und Verwendung des Verfahrens zur Herstellung einer Photomaske
US7298455B2 (en) 2005-06-17 2007-11-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
WO2011012624A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, and lithographic processing cell
KR20120058572A (ko) 2009-08-24 2012-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
JP5554846B2 (ja) 2010-02-19 2014-07-23 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、デバイス製造方法とそれに関連付けられたデータ処理装置及びコンピュータプログラム
US9177219B2 (en) 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
NL2009345A (en) 2011-09-28 2013-04-02 Asml Netherlands Bv Method of applying a pattern to a substrate, device manufacturing method and lithographic apparatus for use in such methods.
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
JP6077647B2 (ja) 2012-05-29 2017-02-08 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法及び装置、基板、リソグラフィシステム並びにデバイス製造方法
US9442392B2 (en) 2012-12-17 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Scanner overlay correction system and method
WO2016142169A1 (en) 2015-03-06 2016-09-15 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
WO2016146217A1 (en) 2015-03-13 2016-09-22 Asml Netherlands B.V. Lithographic method and lithographic apparatus
WO2017012859A1 (en) * 2015-07-20 2017-01-26 Asml Netherlands B.V. Methods for controlling lithographic apparatus, lithographic apparatus and device manufacturing method
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
EP3343294A1 (en) 2016-12-30 2018-07-04 ASML Netherlands B.V. Lithographic process & apparatus and inspection process and apparatus
WO2019029933A1 (en) * 2017-08-07 2019-02-14 Asml Netherlands B.V. COMPUTER METROLOGY
EP3547029A1 (en) * 2018-03-29 2019-10-02 ASML Netherlands B.V. Control method for a scanning exposure apparatus
NL2021296A (en) 2018-07-12 2018-09-11 Asml Netherlands Bv Lithographic process & apparatus and inspection process and apparatus
EP3680714A1 (en) 2019-01-09 2020-07-15 ASML Netherlands B.V. Method and apparatus for configuring spatial dimensions of a beam during a scan
KR20200117565A (ko) 2019-04-04 2020-10-14 에스케이하이닉스 주식회사 반도체 소자의 오버레이 오차 예측 방법 및 오버레이 오차 보정 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200746343A (en) * 2005-10-26 2007-12-16 Micronic Laser Systems Ab Platforms, apparatuses, systems and methods for processing and analyzing substrates
US20180314168A1 (en) * 2015-10-19 2018-11-01 Asml Netherlands B.V. Method and apparatus to reduce effects of nonlinear behavior

Also Published As

Publication number Publication date
US20220171295A1 (en) 2022-06-02
US20230288817A1 (en) 2023-09-14
WO2020200635A1 (en) 2020-10-08
KR102622405B1 (ko) 2024-01-05
JP2022526180A (ja) 2022-05-23
CN113678063A (zh) 2021-11-19
TW202105055A (zh) 2021-02-01
US11662666B2 (en) 2023-05-30
JP7288974B2 (ja) 2023-06-08
KR20240008974A (ko) 2024-01-19
KR20210134027A (ko) 2021-11-08

Similar Documents

Publication Publication Date Title
TWI668775B (zh) 減少非線性行為效應之方法與系統
KR102269301B1 (ko) 리소그래피 방법 및 리소그래피 장치
TWI664664B (zh) 微影製程和設備及檢測製程和設備
KR102087310B1 (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
US11126093B2 (en) Focus and overlay improvement by modifying a patterning device
TW201928527A (zh) 用於控制微影設備之方法及與相關裝置
KR102271283B1 (ko) 패턴 위치설정 정확도 증가 방법 및 시스템
US20230288817A1 (en) Sub-field control of a lithographic process and associated apparatus
TW201725452A (zh) 校正圖案化製程誤差之方法與裝置
KR20200030605A (ko) 패터닝 프로세스 제어 방법, 디바이스 제조 방법
TWI750640B (zh) 判定與標記佈局相關聯的對準模型之方法、電腦程式產品、量測系統及微影裝置
US11187994B2 (en) Method for controlling a manufacturing process and associated apparatuses
TWI749607B (zh) 微影製程之子場控制及相關聯裝置
TWI747725B (zh) 用於控制製造流程之方法及相關設備
EP3734366A1 (en) Sub-field control of a lithographic process and associated apparatus
EP3767391A1 (en) Sub-field control of a lithographic process and associated apparatus
TWI752604B (zh) 微影製程之子場控制及其相關設備
EP3786711A1 (en) Non-correctable error in metrology