CN112786425A - 等离子体处理装置和等离子体处理方法 - Google Patents

等离子体处理装置和等离子体处理方法 Download PDF

Info

Publication number
CN112786425A
CN112786425A CN202011169858.2A CN202011169858A CN112786425A CN 112786425 A CN112786425 A CN 112786425A CN 202011169858 A CN202011169858 A CN 202011169858A CN 112786425 A CN112786425 A CN 112786425A
Authority
CN
China
Prior art keywords
opening
plasma
generation space
closing
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011169858.2A
Other languages
English (en)
Inventor
松浦广行
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN112786425A publication Critical patent/CN112786425A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

本发明提供能够抑制在等离子体生成部沉积膜的等离子体处理装置和等离子体处理方法。本发明的一个方式的等离子体处理装置包括:具有长度方向的处理容器;对上述处理容器内供给原料气体的原料气体供给部;等离子体分隔壁,其沿上述处理容器的长度方向设置,在内部形成等离子体生成空间,并具有使上述等离子体生成空间与上述处理容器内连通的开口;反应气体供给部,其能够对上述等离子体生成空间供给与上述原料气体反应的反应气体;和开闭上述开口的开闭部。

Description

等离子体处理装置和等离子体处理方法
技术领域
本发明涉及等离子体处理装置和等离子体处理方法。
背景技术
已知有一种技术,在用于形成规定的薄膜的成膜装置中,在由设置于处理容器的一侧的等离子体分隔壁形成的等离子体生成空间中用等离子体将处理气体活化而供给到处理容器内的技术(例如,参照专利文献1)。
现有技术文献
专利文献
专利文献1:日本特开2008-300688号公报
发明内容
发明要解决的技术问题
本发明提供抑制在等离子体生成部沉积膜的技术。
用于解决技术问题的技术方案
本发明的一个方式的等离子体处理装置包括:具有长度方向的处理容器;对上述处理容器内供给原料气体的原料气体供给部;等离子体分隔壁,其沿上述处理容器的长度方向设置,在内部形成等离子体生成空间,并具有使上述等离子体生成空间与上述处理容器内连通的开口;反应气体供给部,其能够对上述等离子体生成空间供给与上述原料气体反应的反应气体;和开闭上述开口的开闭部。
发明效果
依照本发明,能够抑制在等离子体生成部沉积膜。
附图说明
图1是表示第一实施方式的等离子体处理装置的一个例子的概要图(1)。
图2是表示第一实施方式的等离子体处理装置的一个例子的概要图(2)。
图3是表示开闭等离子体分隔壁的开口的开闭部的一个例子的图。
图4是表示开闭部的驱动部的动作的一个例子的图。
图5是用于说明开闭部的动作的图。
图6是表示第一实施方式的等离子体处理方法的一个例子的流程图。
图7是表示第二实施方式的等离子体处理装置的一个例子的概要图。
图8是表示第二实施方式的等离子体处理方法的一个例子的图。
图9是表示第二实施方式的等离子体处理方法的另一个例子的图。
附图标记说明
1、1A 等离子体处理装置
10 处理容器
20 气体供给部
21、22、23 气体供给管
30 等离子体生成部
36a 等离子体分隔壁
37a 开口
60 开闭部
61 开闭板
61a 通过窗
62 驱动部
63 倾斜抑制部件
90 控制部
Pa 等离子体生成空间。
具体实施方式
下面,参照附图,对本发明的非限定性的例示的实施方式进行说明。所附的所有图中,对相同或相应的部件或零件,标注相同或相应的附图标记,省略重复的说明。
(关于颗粒)
在等离子体处理装置中,在处理容器内投入硅基片,反复执行通过原子层沉积(ALD:Atomic Layer Deposition)形成氮化硅膜的处理。在这样的等离子体处理装置中,当超过某一累计膜厚的阈值后,与累计膜厚成比例地,在基片上产生的颗粒的量增加。
因此,在达到预先决定的累计膜厚的时刻,通过干式清洁(dry cleaning),除去形成于处理容器的内壁的氮化硅膜,使得颗粒的量不超过管理值。再次,反复进行通过ALD形成氮化硅膜的处理直至达到预先决定的累计膜厚为止,进行干式清洁。将从本次干式清洁至下一次干式清洁的期间称为“干式清洁循环周期(dry cleaning cycle)”,用累计膜厚(μm)表示其长度。从提高等离子体处理装置的运转率的观点出发,优选干式清洁循环周期长。
但是,在基片上产生的颗粒多以从基片附近的等离子体生成部产生为主。沉积于等离子体生成部的氮化硅膜由于等离子体的作用而其一部分被剥离,作为微小的颗粒附着在基片表面。因此,为了使颗粒减少,抑制在等离子体生成部沉积膜是很重要的。
下面,说明能够抑制在等离子体生成部沉积膜、使干式清洁循环周期变长的等离子体处理装置和等离子体处理方法。
(第一实施方式)
对第一实施方式的等离子体处理装置进行说明。图1和图2是表示第一实施方式的等离子体处理装置的一个例子的概要图。此外,图1是沿图2中的A-O-B线的局部截面图。此外,在图2中,省略了加热部50和控制部90的图示。
等离子体处理装置1包括处理容器10、气体供给部20、等离子体生成部30、排气部40、加热部50、开闭部60和控制部90。
处理容器10具有下端开口的有顶的圆筒形状。处理容器10整体例如由石英形成。在处理容器10的下端的开口,夹着O形环等密封部件(未图示)连结有形成为圆筒形状的金属制的歧管(manifold)11。
歧管11支承处理容器10的下端。歧管11由金属(例如不锈钢)形成。
基片保持具12例如由石英形成。基片保持具12具有多个杆12a,由形成于杆12a的槽(未图示)多层地支承多个基片W。换言之,基片保持具12沿上下方向具有间隔,并将多个基片W大致水平地保持。基片W例如也可以为半导体晶片。基片保持具12从歧管11的下方插入处理容器10内。基片保持具12经由保温筒13载置在载置台14上。
保温筒13防止基片保持具12因与盖体15侧的热传递而被冷却,对基片保持具12进行保温。保温筒13例如由石英形成。
载置台14被支承在贯通开闭歧管11的下端的开口的金属(例如不锈钢)制的盖体15的转动轴16上。在转动轴16的贯通部设置有磁性流体密封件17,气密地封闭且可转动地支承转动轴16。在盖体15的周边部与歧管11的下端之间,设置有用于保持处理容器10内的气密性的O形环等密封部件(未图示)。
转动轴16安装在支承于晶片舟电梯等升降机构(未图示)的臂18的前端,基片保持具12和盖体15一体地升降,相对于处理容器10内插入、脱离。此外,也可以将载置台14固定设置于盖体15侧,不使基片保持具12转动就能够进行基片W的处理。
气体供给部20构成为能够对处理容器10内供给气体。在本实施方式中,气体供给部20包含气体供给管21、22、23。气体供给管21、22、23例如由石英形成。
气体供给管21向内侧贯通歧管11的侧壁而向上方弯曲地垂直延伸。气体供给管21在处理容器10内设置有其垂直部分。在气体供给管21的垂直部分,在与基片保持具12的基片支承范围对应的上下方向的整个长度上按规定间隔形成有多个气体孔21a。气体孔21a在水平方向上释放气体。气体供给管21从气体孔21a对处理容器10内供给原料气体。在气体供给管21连接有气体配管21b,在气体配管21b设置有流量控制器和阀(均未图示)。由此,原料气体以流量被控制的方式供给到处理容器10内。作为原料气体,能够利用硅原料气体,例如二氯硅烷(DCS:SiH2Cl2)气体。此外,气体供给管21也可以构成为除原料气体以外还供给吹扫气体,例如氮(N2)气、氩(Ar)气。
气体供给管22在后述的等离子体分隔壁36a的底部向内侧贯通而垂直延伸。气体供给管22在后述的等离子体生成空间Pa设置有其垂直部分。在气体供给管22的垂直部分,在与基片保持具12的基片支承范围对应的上下方向的整个长度上按规定间隔形成有多个气体孔22a。气体孔22a在水平方向上释放气体。气体供给管22从气体孔22a对等离子体生成空间Pa供给反应气体。在气体配管22b设置有流量控制器和阀(均未图示)。由此,反应气体以流量被控制、在等离子体生成空间Pa中被等离子体活化后的状态下被供给到处理容器10内。作为反应气体,能够利用氮化气体,例如氨(NH3)气。此外,气体供给管22也可以构成为除反应气体以外还供给吹扫气体,例如N2气体、Ar气体。
气体供给管23在后述的等离子体分隔壁36b的底部向内侧贯通而垂直延伸。气体供给管23在后述的等离子体生成空间Pb设置有其垂直部分。在气体供给管23的垂直部分,在与基片保持具12的基片支承范围对应的上下方向的整个长度上按规定间隔形成有多个气体孔23a。气体孔23a在水平方向上释放气体。气体供给管23从气体孔23a对等离子体生成空间Pb供给反应气体。在气体配管23b设置有流量控制器和阀(均未图示)。由此,反应气体以流量被控制、在等离子体生成空间Pb中被等离子体活化后的状态下被供给到处理容器10内。作为反应气体,能够利用氮化气体,例如NH3气体。此外,气体供给管23也可以构成为除反应气体以外还供给吹扫气体,例如N2气体、Ar气体。
此外,气体供给部20还可以包括对处理容器10内供给非活性气体,例如N2气体、Ar气体的气体供给管(未图示)。
等离子体生成部30利用等离子体将反应气体活化。在本实施方式中,等离子体生成部30包括高频电源31、匹配电路32、切换部33、供电线34a、34b、等离子体电极35a、35b和等离子体分隔壁36a、36b。
高频电源31构成为经由匹配电路32对等离子体电极35a、35b供给规定频率例如13.56MHz的高频电功率。在本实施方式中,高频电源31经由切换部33与等离子体电极35a、35b的下端连接,对等离子体电极35a、35b的任一者供给高频电功率。由此,在由等离子体分隔壁36a、36b规定的等离子体生成空间Pa、Pb中,从气体供给管22、23的气体孔22a、23a释放的反应气体被等离子体活化。活化后的反应气体经由形成于等离子体分隔壁36a、36b的开口37a、37b被供给到处理容器10内。
切换部33构成为能够切换高频电源31与等离子体电极35a、35b的连接状态。切换部33例如也可以是真空继电器组。
供电线34a、34b构成为能够将来自高频电源31的高频电功率供给到等离子体电极35a、35b。在本实施方式中,供电线34a将切换部33与等离子体电极35a电连接,供电线34b将切换部33与等离子体电极35b电连接。
一对等离子体电极35a各自具有细长的形状,在等离子体分隔壁36a的两侧的壁的外表面,沿上下方向相对地配置。一个等离子体电极35a经由供电线34a连接到高频电源31,另一个等离子体电极35a接地。
一对等离子体电极35b各自具有细长的形状,在等离子体分隔壁36b的两侧的壁的外表面,沿上下方向相对地配置。一个等离子体电极35b经由供电线34b连接到高频电源31,另一个等离子体电极35b接地。
等离子体分隔壁36a、36b形成于处理容器10的侧壁的一部分。在本实施方式中,等离子体分隔壁36a、36b与处理容器10的侧壁气密地焊接在一起。等离子体分隔壁36a、36b例如由石英形成。等离子体分隔壁36a、36b截面呈凹状,覆盖形成于处理容器10的侧壁的开口。开口以能够在上下方向上遮盖支承于基片保持具12的所有基片W的方式,在上下方向上细长地形成。等离子体分隔壁36a、36b在内部形成与处理容器10内连通的等离子体生成空间Pa、Pb。在等离子体生成空间Pa、Pb,配置有用于释放反应气体的气体供给管22、23。等离子体分隔壁36a、36b具有使等离子体生成空间Pa、Pb与处理容器10内连通的开口37a、37b,经由开口37a、37b从等离子体生成空间Pa、Pb对处理容器10内供给被等离子体活化后的反应气体。
排气部40连接到形成于歧管11的排气口11a,能够经由排气口11a对处理容器10内进行排气。在本实施方式中,排气部40包括压力调节阀和真空泵,对处理容器10内的气氛进行压力控制并进行真空排气。
加热部50以包围处理容器10的外周的方式设置,能够对收纳于处理容器10内的基片W进行加热。在本实施方式中,加热部50包括圆筒形状的隔热体和以螺旋形设置于该隔热体的内周面的发热体。
开闭部60构成为能够开闭形成于等离子体分隔壁36a的开口37a。图3是表示开闭等离子体分隔壁36a的开口37a的开闭部60的一个例子的图。图3的(a)是从处理容器10的周向观察开闭部60时的图,图3的(b)是从处理容器10的中心O观察开闭部60时的图,图3的(c)是从上方观察开闭部60时的图。此外,在图3的(c)中,省略了开闭板61的图示。图4是表示开闭部60的驱动部62的动作的一个例子的图。在本实施方式中,开闭部60包括开闭板61、驱动部62和倾斜抑制部件63。
开闭板61构成为沿处理容器10内的长度方向设置,能够开闭等离子体分隔壁36a的开口37a。开闭板61具有以覆盖整个开口37a的方式使上下方向为长度方向并使水平方向为宽度方向的细长的矩形形状。优选开闭板61由具有2mm~3mm的板厚的石英玻璃板形成。由此,在用开闭板61关闭开口37a时,能够利用石英玻璃板的弹性,将开闭板61按压在等离子体分隔壁36a,因此开闭板61与等离子体分隔壁36a的外壁面的紧贴性和密闭性提高。开闭板61具有使反应气体从等离子体生成空间Pa通过至处理容器10内的通过窗61a。通过窗61a例如具有与开口37a相同大小或大致相同大小的隙缝状。
驱动部62构成为能够在打开开口37a的位置与关闭开口37a的位置之间驱动开闭板61。打开开口37a的位置是通过窗61a与开口37a重叠的位置,关闭开口37a的位置是通过窗61a与开口37a不重叠的位置。此外,在图2中,示出了开闭板61处于关闭开口37a的位置的情况。在本实施方式中,驱动部62包括连接部62a、水平驱动板62b、转动轴62c、传递部62d和驱动源62e。
连接部62a设置于处理容器10内,连接开闭板61的下端与水平驱动板62b。
水平驱动板62b设置于处理容器10内,将转动轴62c的转动经由连接部62a传递至开闭板61。
转动轴62c构成为能够对水平驱动板62b进行转动驱动。例如如图4所示,当转动轴62c按箭头R1所示那样转动时,水平驱动板62b按箭头R2所示那样进行转动驱动。在本实施方式中,转动轴62c处于比开闭板61靠处理容器10的外周侧的位置。由此,开闭板61以比该开闭板61靠处理容器10的外周侧处为转动中心转动地驱动。
传递部62d从内侧向外侧贯通歧管11的侧壁,将驱动源62e的动力传递至转动轴62c。在传递部62d的贯通部设置有磁性流体密封件(未图示)。磁性流体密封件气密地封闭且可转动地支承传递部62d。
驱动源62e设置于处理容器10的外部,经由传递部62d使转动轴62c转动。驱动源62e例如也可以为电机。
图5是用于说明开闭部60的动作的图。如图5的左图所示,当使转动轴62c逆时针转动时,转动轴62c的转动驱动经由水平驱动板62b和连接部62a传递至开闭板61,开闭板61移动到通过窗61a与开口37a不重叠的关闭位置。由此,处理容器10内与等离子体生成空间Pa的连通状态被阻断。此外,“连通状态被阻断”不仅指完全被阻断的情况,还包括稍有泄漏的情况。在该状态下,当从气体供给管21对处理容器10内供给原料气体时,能够抑制原料气体从处理容器10内流入等离子体生成空间Pa。因此,能够抑制原料气体附着在等离子体分隔壁36a的内壁。其结果是,能够抑制在等离子体分隔壁36a的内壁沉积膜。
此外,如图5的右图所示,当使转动轴62c顺时针转动时,转动轴62c的转动驱动经由水平驱动板62b和连接部62a传递至开闭板61,开闭板61移动到通过窗61a与开口37a重叠的打开位置。由此,处理容器10内与等离子体生成空间Pa连通。在该状态下,当从气体供给管22对等离子体生成空间Pa供给反应气体,对等离子体电极35a供给高频电功率时,在等离子体生成空间Pa中反应气体被等离子体活化,活化后的反应气体被供给到处理容器10内。
倾斜抑制部件63构成为能够防止开闭板61向处理容器10的中心侧倾倒而与基片W接触。在本实施方式中,倾斜抑制部件63被焊接于等离子体分隔壁36a的上部。倾斜抑制部件63从等离子体分隔壁36a的上部向上方延伸并以大致U字形向下方弯曲。倾斜抑制部件63的弯曲至下方的部位,处于比开闭板61靠处理容器10的中心侧的位置,在开闭板61向处理容器10的中心侧倾斜的情况下与开闭板61接触而防止该开闭板61倾倒。倾斜抑制部件63例如由石英形成。
控制部90通过控制等离子体处理装置1的各部,执行后述的等离子体处理方法。控制部90例如也可以是计算机。此外,进行等离子体处理装置1的各部的动作的计算机的程序,存储于存储介质。存储介质例如也可以是软盘、光盘、硬盘、闪存、DVD等。
下面,说明在等离子体处理装置1中实施的等离子体处理方法的一个例子。图6是表示第一实施方式的等离子体处理方法的一个例子的流程图。第一实施方式的等离子体处理方法包括步骤S61~S66。下面,按执行等离子体处理方法之前的开闭部60的状态为开闭板61关闭等离子体分隔壁36a的开口37a的状态,高频电源31的连接目标为等离子体电极35b,来进行说明。
在步骤S61中,控制部90控制等离子体处理装置1的各部,对基片W执行第一成膜处理。第一成膜处理例如也可以是通过ALD在基片W形成氮化硅膜的ALD处理。在ALD处理中,通过执行包括从气体供给管21对处理容器10内供给原料气体的步骤和从气体供给管23对处理容器10内供给反应气体的步骤的多个循环周期,在基片W形成氮化硅膜。由于在进行ALD处理时利用开闭板61关闭等离子体分隔壁36a的开口37a,因此能够抑制原料气体和反应气体附着到等离子体分隔壁36a的内壁。此外,在第一成膜处理中,也可以从气体供给管22对等离子体生成空间Pa供给吹扫气体。由此,尤其能够抑制从气体供给管21对处理容器10内供给的原料气体流入等离子体生成空间Pa。从气体供给管22供给的吹扫气体的流量例如也可以为300sccm~700sccm。另外,反复执行的第一成膜处理可以是按照相同方案执行的处理,也可以包含按照不同的方案执行的处理。
在步骤S62中,控制部90判断累计膜厚是否为预先决定的阈值以上。累计膜厚例如也可以是从通过干式清洁除去了沉积于处理容器10内的膜的时间点起至通过反复执行第一成膜处理而沉积于处理容器10内的累计的膜厚。阈值被预先决定为在基片W上产生的颗粒的量不超过管理值。例如在第一成膜处理为使用了500℃~600℃下的等离子体的氮化硅膜的ALD成膜的情况下,阈值可以为1μm左右。在步骤S62中,在判断为累计膜厚在阈值以上的情况下,使处理前进至步骤S63。另一方面,在步骤S62中,在判断为累计膜厚不在阈值以上的情况下,使处理返回至步骤S61。像这样,在等离子体处理装置1中,反复执行第一成膜处理直至累计膜厚成为阈值以上。
在步骤S63中,控制部90控制开闭部60,将开闭板61从关闭等离子体分隔壁36a的开口37a的位置转动驱动至打开该开口37a的位置。由此,处理容器10内与等离子体生成空间Pa连通。
在步骤S64中,控制部90控制切换部33,将高频电源31的连接目标从等离子体电极35b切换至等离子体电极35a。由此,能够利用等离子体将被供给到等离子体生成空间Pa的反应气体活化。此外,步骤S64可以与步骤S63同时执行,也可以在步骤S63之前执行。
在步骤S65中,控制部90控制等离子体处理装置1的各部,对基片W执行第二成膜处理。第二成膜处理例如也可以与第一成膜处理同样是通过ALD在基片W形成氮化硅膜的ALD处理。ALD处理例如也可以与第一成膜处理中的ALD处理相同。
在步骤S66中,控制部90判断累计膜厚是否为预先决定的阈值以上。累计膜厚例如可以是从通过干式清洁除去了沉积于处理容器10内的膜的时间点起至通过反复执行第二成膜处理而沉积于处理容器10内的累计的膜厚。阈值例如被预先决定为在基片W上产生的颗粒的量不超过管理值。例如在第二成膜处理是使用了500℃~600℃下的等离子体的氮化硅膜的ALD成膜的情况下,阈值也可以为1μm左右。在步骤S66中判断为累计膜厚在阈值以上的情况下,结束处理。另一方面,在步骤S66中判断为累计膜厚不在阈值以上的情况下,使处理返回至步骤S65。像这样,在等离子体处理装置1中,反复执行第二成膜处理直至累计膜厚成为阈值以上为止。
如以上所说明的那样,依照第一实施方式的等离子体处理方法,使用在等离子体生成空间Pb中被等离子体活化的反应气体反复执行第一成膜处理直至累计膜厚成为阈值以上。接着,不执行干式清洁,而使开闭板61从关闭位置移动至打开位置,利用切换部33将高频电源31的连接目标从等离子体电极35b切换至等离子体电极35a。接着,使用在等离子体生成空间Pa中被等离子体活化的反应气体反复执行第二成膜处理直至累计膜厚成为阈值以上。由此,能够将干式清洁循环周期延长为不使用开闭板61的情况的大约2倍。其结果是,等离子体处理装置1的运转率上升。此外,能够减少用于品质管理的工作量,能够降低材料成本。
(第二实施方式)
对第二实施方式的等离子体处理装置进行说明。图7是表示第二实施方式的等离子体处理装置的一个例子的概要图。第二实施方式的等离子体处理装置1A在等离子体生成空间为一个这一点上,与第一实施方式的等离子体处理装置1不同。下面,以与第一实施方式的等离子体处理装置1不同之处为中心进行说明。
等离子体处理装置1A包括处理容器10、气体供给部20A、等离子体生成部30A、排气部40、加热部50、开闭部60和控制部90。
气体供给部20A构成为能够对处理容器10内供给气体。在本实施方式中,气体供给部20A包括气体供给管21、22。气体供给管21、22例如由石英形成。气体供给管21、22也可以为与第一实施方式的气体供给管21、22相同的结构。
等离子体生成部30A用等离子体将反应气体活化。在本实施方式中,等离子体生成部30A包括高频电源31、匹配电路32、供电线34a、等离子体电极35a和等离子体分隔壁36a。高频电源31、匹配电路32、供电线34a、等离子体电极35a和等离子体分隔壁36a可以是与第一实施方式的高频电源31、匹配电路32、供电线34a、等离子体电极35a和等离子体分隔壁36a相同的结构。
下面,说明在等离子体处理装置1A中实施的等离子体处理方法的一个例子。图8是表示第二实施方式的等离子体处理方法的一个例子的图。如图8所示,第二实施方式的等离子体处理方法包括通过执行包含步骤S1~S4的多个循环周期,在基片W形成氮化硅膜的ALD处理。
在步骤S1中,控制部90在控制开闭部60将开闭板61移动至打开位置的状态下,从气体供给管22对等离子体生成空间Pa供给反应气体(例如NH3气体),从高频电源31对等离子体电极35a供给高频电功率。由此,反应气体在等离子体生成空间Pa被等离子体活化而被供给至处理容器10内。被供给到处理容器10内的反应气体与吸附于基片W上的原料气体(例如DCS气体)反应而生成反应生成物(例如氮化硅)。此外,在步骤S1中,从未图示的气体供给管对处理容器10内供给小流量的非活性气体(例如N2气体)。
在步骤S2中,控制部90停止从气体供给管22对等离子体生成空间Pa供给反应气体并停止从高频电源31对等离子体电极35a供给高频电功率,而且使从未图示的气体供给管供给到处理容器10内的非活性气体的流量增大。由此,能够将残留在处理容器10内的反应气体从处理容器10内除去。
在步骤S3中,控制部90使从未图示的气体供给管供给到处理容器10内的非活性气体的流量减少。此外,控制部90在控制开闭部60使开闭板61移动至关闭的位置的状态下,从气体供给管21对处理容器10内供给原料气体(例如DCS气体)。由此,在基片W上吸附原料气体。此时,开闭板61处于关闭位置,即,等离子体分隔壁36a的开口37a被开闭板61关闭,因此能够抑制原料气体从处理容器10内流入等离子体生成空间Pa。由此,能够抑制原料气体附着在等离子体分隔壁36a的内壁。其结果是,能够抑制在等离子体分隔壁36a的内壁沉积膜。此外,在步骤S3中,也可以从气体供给管22对等离子体生成空间Pa供给吹扫气体。由此,尤其能够抑制从气体供给管21被供给到处理容器10内的原料气体流入等离子体生成空间Pa。
在步骤S4中,控制部90停止从气体供给管21对等离子体生成空间Pa供给原料气体,并且使从未图示的气体供给管供给到处理容器10内的非活性气体的流量增大。由此,能够将残留在处理容器10内的原料气体从处理容器10内除去。此外,在步骤S4中,控制部90也可以控制开闭部60使开闭板61移动至打开位置。不过,从抑制残留于处理容器10内的原料气体流入等离子体生成空间Pa的观点出发,优选在步骤S4中为将开闭板61维持在关闭位置的状态。
下面,说明在等离子体处理装置1A中实施的等离子体处理方法的另一个例子。图9是表示第二实施方式的等离子体处理方法的另一个例子的图。图9所示的等离子体处理方法在步骤S2的中途将开闭板61从打开位置移动至关闭位置这一点上,与图8所示的等离子体处理方法不同。其它方面与图8所示的等离子体处理方法相同。
在图9所示的等离子体处理方法中,从开始进行向处理容器10内供给原料气体的时间点之前起用开闭板61堵住等离子体分隔壁36a的开口37a。由此,能够抑制在步骤S3刚刚开始后从气体供给管21被供给到处理容器10内的原料气体流入等离子体生成空间Pa。因此,尤其能够抑制从气体供给管21被供给到处理容器10内的原料气体流入等离子体生成空间Pa。
如以上所说明的那样,依照第二实施方式的等离子体处理方法,至少在对处理容器10内供给原料气体时,使开闭板61移动至关闭位置堵住等离子体分隔壁36a的开口37a。由此,能够抑制原料气体流入等离子体生成空间Pa内,使干式清洁循环周期延长为不使用开闭板61的情况的1.5倍以上。其结果是,等离子体处理装置1的运转率上升。此外,能够减少用于品质管理的工作量,能够降低材料成本。
另外,第二实施方式的等离子体处理方法还能够应用于第一实施方式的等离子体处理方法中的第二成膜处理。即,也可以在第二成膜处理中,至少在对处理容器10内供给原料气体时,将开闭板61移动至关闭位置以堵住等离子体分隔壁36a的开口37a。
另外,在上述的实施方式中,气体供给管21是原料气体供给部的一个例子,气体供给管22是反应气体供给部的一个例子,气体供给管23是第二反应气体供给部的一个例子。此外,等离子体分隔壁36b是第二等离子体分隔壁的一个例子,开口37b是第二开口的一个例子,等离子体生成空间Pb是第二等离子体生成空间的一个例子。
本发明公开的实施方式在所有方面均为例示而不应认为是限制性的。上述的实施方式在不脱离所附的权利要求的范围及其主旨的情况下,能够以各种各样的方式省略、替换、改变。
在上述的实施方式中,说明了处理容器为单管结构的容器的情况,但是本发明并不限定于此。例如,处理容器也可以为双重管结构的容器。
在上述的实施方式中,说明了基片为半导体晶片的情况,但是本发明并不限定于此。例如,基片也可以为平板显示器(FPD:Flat Panel Display)用的大型基片、有机EL面板用的基片、太阳能电池用的基片。

Claims (20)

1.一种等离子体处理装置,其特征在于,包括:
具有长度方向的处理容器;
对所述处理容器内供给原料气体的原料气体供给部;
等离子体分隔壁,其沿所述处理容器的长度方向设置,在内部形成等离子体生成空间,并具有使所述等离子体生成空间与所述处理容器内连通的开口;
反应气体供给部,其能够对所述等离子体生成空间供给与所述原料气体反应的反应气体;和
开闭所述开口的开闭部。
2.如权利要求1所述的等离子体处理装置,其特征在于:
所述开闭部包括:
开闭所述开口的开闭板;和
在打开所述开口的位置与关闭所述开口的位置之间驱动所述开闭板的驱动部。
3.如权利要求2所述的等离子体处理装置,其特征在于:
所述开闭板具有使所述反应气体通过的通过窗,
打开所述开口的位置是所述通过窗与所述开口重叠的位置,关闭所述开口的位置是所述通过窗与所述开口不重叠的位置。
4.如权利要求2或3所述的等离子体处理装置,其特征在于:
所述开闭板由石英玻璃板形成。
5.如权利要求4所述的等离子体处理装置,其特征在于:
所述石英玻璃板具有2mm~3mm的板厚。
6.如权利要求2~5中的任一项所述的等离子体处理装置,其特征在于:
所述驱动部对所述开闭板进行转动驱动。
7.如权利要求6所述的等离子体处理装置,其特征在于:
所述开闭板的转动驱动的转动中心处于比所述开闭板靠所述处理容器的外周侧的位置。
8.如权利要求2~7中的任一项所述的等离子体处理装置,其特征在于:
所述开闭部包括抑制所述开闭板向所述处理容器的中心侧倾斜的倾斜抑制部件。
9.如权利要求8所述的等离子体处理装置,其特征在于:
所述倾斜抑制部件安装于所述等离子体分隔壁。
10.如权利要求8或9所述的等离子体处理装置,其特征在于:
所述倾斜抑制部件通过与所述开闭板的所述处理容器侧的面接触来抑制所述开闭板的倾斜。
11.如权利要求1~10中的任一项所述的等离子体处理装置,其特征在于:
所述原料气体为硅原料气体,所述反应气体为氮化气体。
12.如权利要求1~11中的任一项所述的等离子体处理装置,其特征在于:
还包括控制部,
所述控制部构成为能够执行如下步骤:
在用所述开闭部打开所述开口的状态下从所述反应气体供给部对所述等离子体生成空间供给所述反应气体的步骤;和
在用所述开闭部关闭所述开口的状态下从所述原料气体供给部对所述处理容器内供给所述原料气体的步骤。
13.如权利要求12所述的等离子体处理装置,其特征在于:
所述控制部构成为能够在所述供给反应气体的步骤中用等离子体将所述反应气体活化。
14.如权利要求12或13所述的等离子体处理装置,其特征在于:
所述控制部构成为能够在所述供给原料气体的步骤中对所述等离子体生成空间供给吹扫气体。
15.如权利要求14所述的等离子体处理装置,其特征在于:
所述吹扫气体从所述反应气体供给部被供给到所述等离子体生成空间。
16.如权利要求1~11中的任一项所述的等离子体处理装置,其特征在于,还包括:
第二等离子体分隔壁,其与所述等离子体分隔壁独立地沿所述处理容器的长度方向设置,在内部形成第二等离子体生成空间,并具有使所述第二等离子体生成空间与所述处理容器内连通的第二开口;
对所述第二等离子体生成空间供给所述反应气体的第二反应气体供给部;和
控制部,
所述控制部构成为能够执行实施第一成膜处理的工序和实施第二成膜处理的工序,
所述第一成膜处理包括:在用所述开闭部关闭所述开口的状态下从所述原料气体供给部对所述处理容器内供给所述原料气体的步骤;和从所述第二反应气体供给部对所述等离子体生成空间供给所述反应气体的步骤,
所述第二成膜处理包括在用所述开闭部打开所述开口的状态下从所述反应气体供给部对所述等离子体生成空间供给所述反应气体的步骤。
17.如权利要求16所述的等离子体处理装置,其特征在于:
所述实施第二成膜处理的工序,包括在用所述开闭部关闭所述开口的状态下从所述原料气体供给部对所述处理容器内供给所述原料气体的步骤。
18.如权利要求16或17所述的等离子体处理装置,其特征在于:
所述实施第二成膜处理的工序,在通过反复进行实施所述第一成膜处理的工序而沉积在所述处理容器内的膜的累计膜厚成为阈值以上的情况下被执行。
19.一种使用等离子体处理装置的等离子体处理方法,其特征在于:
所述等离子体装置包括:
具有长度方向的处理容器;
对所述处理容器内供给原料气体的原料气体供给部;
等离子体分隔壁,其沿所述处理容器的长度方向设置,在内部形成等离子体生成空间,并具有使所述等离子体生成空间与所述处理容器内连通的开口;
反应气体供给部,其能够对所述等离子体生成空间供给与所述原料气体反应的反应气体;和
开闭所述开口的开闭部,
所述等离子体处理方法包括:
在用所述开闭部打开所述开口的状态下从所述反应气体供给部对所述等离子体生成空间供给所述反应气体的步骤;和
在用所述开闭部关闭所述开口的状态下从所述原料气体供给部对所述处理容器内供给所述原料气体的步骤。
20.一种使用等离子体处理装置的等离子体处理方法,其特征在于:
所述等离子体处理装置包括:
具有长度方向的处理容器;
对所述处理容器内供给原料气体的原料气体供给部;
等离子体分隔壁,其沿所述处理容器的长度方向设置,在内部形成等离子体生成空间,并具有使所述等离子体生成空间与所述处理容器内连通的开口;
反应气体供给部,其能够对所述等离子体生成空间供给与所述原料气体反应的反应气体;
开闭所述开口的开闭部;
第二等离子体分隔壁,其与所述等离子体分隔壁独立地沿所述处理容器的长度方向设置,在内部形成第二等离子体生成空间,并具有使所述第二等离子体生成空间与所述处理容器内连通的第二开口;和
对所述第二等离子体生成空间供给所述反应气体的第二反应气体供给部,
所述等离子体处理方法包括实施第一成膜处理的工序和实施第二成膜处理的工序,
所述第一成膜处理包括:在用所述开闭部关闭所述开口的状态下从所述原料气体供给部对所述处理容器内供给所述原料气体的步骤;和从所述第二反应气体供给部对所述等离子体生成空间供给所述反应气体的步骤,
所述第二成膜处理包括在用所述开闭部打开所述开口的状态下从所述反应气体供给部对所述等离子体生成空间供给所述反应气体的步骤。
CN202011169858.2A 2019-11-07 2020-10-28 等离子体处理装置和等离子体处理方法 Pending CN112786425A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-202610 2019-11-07
JP2019202610A JP7296855B2 (ja) 2019-11-07 2019-11-07 プラズマ処理装置及びプラズマ処理方法

Publications (1)

Publication Number Publication Date
CN112786425A true CN112786425A (zh) 2021-05-11

Family

ID=75751074

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011169858.2A Pending CN112786425A (zh) 2019-11-07 2020-10-28 等离子体处理装置和等离子体处理方法

Country Status (4)

Country Link
US (1) US11688585B2 (zh)
JP (1) JP7296855B2 (zh)
KR (1) KR20210055613A (zh)
CN (1) CN112786425A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023156617A1 (en) * 2022-02-17 2023-08-24 Innovative Coating Solutions Plasma coating method and plasma coating system

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62146269A (ja) * 1985-12-20 1987-06-30 Sanyo Electric Co Ltd プラズマcvd装置
US20020005171A1 (en) * 2000-01-31 2002-01-17 Tadashi Hori Vacuum-processing apparatus and method for vacuum-processing an object
US20060037703A1 (en) * 2004-06-21 2006-02-23 Tokyo Electron Limited Plasma processing apparatus and method
US20070234961A1 (en) * 2006-04-05 2007-10-11 Toshiki Takahashi Vertical plasma processing apparatus and method for semiconductor process
JP2009299101A (ja) * 2008-06-10 2009-12-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
CN101819918A (zh) * 2009-01-23 2010-09-01 东京毅力科创株式会社 等离子处理装置
CN102714158A (zh) * 2010-03-19 2012-10-03 东京毅力科创株式会社 硅氧化膜的形成方法和等离子体氧化处理装置
US20150187542A1 (en) * 2013-12-27 2015-07-02 Tokyo Electron Limited Substrate processing apparatus, shutter device and plasma processing apparatus
US9443722B1 (en) * 2015-03-31 2016-09-13 Lam Research Corporation Cyclical, non-isobaric, pore sealing method to prevent precursor penetration into the substrate
JP2017034067A (ja) * 2015-07-31 2017-02-09 株式会社日立国際電気 基板処理装置およびそれを用いた半導体装置の製造方法並びにそれに用いるプログラム
US20190318936A1 (en) * 2018-04-17 2019-10-17 Tokyo Electron Limited Etching method and plasma processing apparatus

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06184757A (ja) * 1992-12-24 1994-07-05 Canon Inc 基体処理装置および堆積膜形成装置
JP2001288571A (ja) 2000-01-31 2001-10-19 Canon Inc 真空処理装置および真空処理方法
JP3792473B2 (ja) 2000-03-29 2006-07-05 三洋電機株式会社 半導体薄膜の形成方法
JP3866655B2 (ja) 2002-12-26 2007-01-10 励起 渡辺 処理装置及び処理方法
US7470329B2 (en) * 2003-08-12 2008-12-30 University Of Maryland Method and system for nanoscale plasma processing of objects
JP5082595B2 (ja) 2007-05-31 2012-11-28 東京エレクトロン株式会社 成膜装置
CN102197158B (zh) 2008-10-28 2014-01-29 三菱电机株式会社 等离子体cvd装置、半导体膜的制造方法、薄膜太阳能电池的制造方法以及等离子体cvd装置的清洗方法
JP5718031B2 (ja) 2010-11-26 2015-05-13 株式会社日立国際電気 基板処理装置および半導体装置の製造方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62146269A (ja) * 1985-12-20 1987-06-30 Sanyo Electric Co Ltd プラズマcvd装置
US20020005171A1 (en) * 2000-01-31 2002-01-17 Tadashi Hori Vacuum-processing apparatus and method for vacuum-processing an object
US20060037703A1 (en) * 2004-06-21 2006-02-23 Tokyo Electron Limited Plasma processing apparatus and method
US20070234961A1 (en) * 2006-04-05 2007-10-11 Toshiki Takahashi Vertical plasma processing apparatus and method for semiconductor process
JP2009299101A (ja) * 2008-06-10 2009-12-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
CN101819918A (zh) * 2009-01-23 2010-09-01 东京毅力科创株式会社 等离子处理装置
CN102714158A (zh) * 2010-03-19 2012-10-03 东京毅力科创株式会社 硅氧化膜的形成方法和等离子体氧化处理装置
US20150187542A1 (en) * 2013-12-27 2015-07-02 Tokyo Electron Limited Substrate processing apparatus, shutter device and plasma processing apparatus
US9443722B1 (en) * 2015-03-31 2016-09-13 Lam Research Corporation Cyclical, non-isobaric, pore sealing method to prevent precursor penetration into the substrate
JP2017034067A (ja) * 2015-07-31 2017-02-09 株式会社日立国際電気 基板処理装置およびそれを用いた半導体装置の製造方法並びにそれに用いるプログラム
US20190318936A1 (en) * 2018-04-17 2019-10-17 Tokyo Electron Limited Etching method and plasma processing apparatus

Also Published As

Publication number Publication date
KR20210055613A (ko) 2021-05-17
US11688585B2 (en) 2023-06-27
US20210142988A1 (en) 2021-05-13
JP2021077755A (ja) 2021-05-20
JP7296855B2 (ja) 2023-06-23

Similar Documents

Publication Publication Date Title
CN107815667B (zh) 基板处理装置
JP4929811B2 (ja) プラズマ処理装置
KR101804003B1 (ko) 성막 방법
TWI428986B (zh) 半導體裝置之製造方法及基板處理裝置
JP5250600B2 (ja) 成膜方法および成膜装置
JP4857849B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP5346904B2 (ja) 縦型成膜装置およびその使用方法
JP4844261B2 (ja) 成膜方法及び成膜装置並びに記憶媒体
KR101775203B1 (ko) 성막 방법
JP4694209B2 (ja) 基板処理装置及び半導体装置の製造方法
JP4545433B2 (ja) 成膜方法
JP2013133521A (ja) 成膜方法
US9441291B2 (en) Method of depositing a film
CN112786425A (zh) 等离子体处理装置和等离子体处理方法
JP5575299B2 (ja) 成膜方法および成膜装置
KR20200011876A (ko) 성막 방법 및 성막 장치
JP2019114786A (ja) 除去方法及び処理方法
JP5457287B2 (ja) 基板処理装置、基板処理方法及び半導体デバイスの製造方法
JP2004039795A (ja) 基板処理装置
JP4114746B2 (ja) 成膜方法
US20220223403A1 (en) Deposition method and plasma processing apparatus
JP5204809B2 (ja) 基板処理装置、基板処理方法及び半導体デバイスの製造方法
TW201400637A (zh) 成膜設備之元件保護方法及成膜方法
KR20210082079A (ko) 성막 방법
JP2024011357A (ja) プラズマ処理装置及びプラズマ処理方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination