CN112202425A - Fpga芯片内的时钟生成单元 - Google Patents

Fpga芯片内的时钟生成单元 Download PDF

Info

Publication number
CN112202425A
CN112202425A CN202011024173.9A CN202011024173A CN112202425A CN 112202425 A CN112202425 A CN 112202425A CN 202011024173 A CN202011024173 A CN 202011024173A CN 112202425 A CN112202425 A CN 112202425A
Authority
CN
China
Prior art keywords
input
output
lookup table
clock
delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011024173.9A
Other languages
English (en)
Inventor
陈永
邬刚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hangzhou Acceleration Technology Co ltd
Original Assignee
Hangzhou Acceleration Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hangzhou Acceleration Technology Co ltd filed Critical Hangzhou Acceleration Technology Co ltd
Priority to CN202011024173.9A priority Critical patent/CN112202425A/zh
Publication of CN112202425A publication Critical patent/CN112202425A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/135Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals by the use of time reference signals, e.g. clock signals
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/14Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals by the use of delay lines

Landscapes

  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种FPGA芯片内的时钟生成单元。该时钟生成单元包括:输入选择器,其包括时钟使能端、输入选择器输入端和输入选择器输出端;查找表,其包括查找表输入端和查找表输出端,查找表被配置为:当查找表输入端为低电平时,查找表输出端输出高电平,当查找表输入端为高电平时,查找表输出端输出低电平;延时链,其包括延时链输入端和延时链输出端,延时链输出端相对于延时链输入端具有延时链延时DDC。本发明的FPGA芯片内的时钟生成单元利用FPGA芯片内的输入选择器、查找表和延时链,得到稳定的时钟输出。

Description

FPGA芯片内的时钟生成单元
技术领域
本发明涉及FPGA(现场可编程逻辑门阵列)芯片领域,尤其涉及一种FPGA芯片内的时钟生成单元。
背景技术
随着半导体技术的不断发展,FPGA芯片已逐渐在人工智能、大数据分析、云计算、网络通信、图像处理、机器人、芯片验证等诸多领域得到广泛的引用。在少数领域中,FPGA芯片甚至正在取代CPU、GPU或DSP的地位,成为主导芯片。然而,FPGA芯片的使用从硬件电路到逻辑设计都需要非常专业的技术,稍不小心就会产生致命性错误,导致整个系统无法正常工作。在诸多致使FPGA芯片无法工作的因素中,因硬件设计缺陷导致FPGA内部没有一个有效的时钟是最常见的问题。因此,如何在现有硬件的基础上为FPGA提供一个合适的参考时钟成为了亟待解决的问题。
针对现有硬件FPGA缺少参考时钟的问题,目前主要有三种解决方案。
第一种解决方案为硬件改版方案。硬件改版方案通过重新设计制造FPGA硬件来给FPGA提供参考时钟。由于此方案需要重新设计并重新制造硬件,因此方案实施时间长、成本高,而且在重新制造过程中可能会引入其他风险。
第二种解决方案为硬件维修方案。硬件维修方案通过飞线等方式给FPGA提供参考时钟,因此需要投入一定的硬件维修成本。飞线方式需要硬件板卡自身具备相应的参考时钟源,并且FPGA专用时钟引脚需要具备飞线引入点,两者缺一不可。此外,在飞线过程中容易造成硬件的二次损坏,无法保证通过飞线维修引入的时钟的可靠性。
第三种解决方案利用其它与FPGA通信的接口模拟时钟信号为FPGA提供时钟。此方案不仅要求FPGA外部具有与FPGA通信的接口,而且要求通信接口可以模拟时钟,与此同时模拟时钟的引脚必须连接在FPGA时钟专用引脚上。第三种解决方案对硬件环境的要求较高,一般无法满足。另外,该方案也同样无法保障时钟的可靠性。
因此,需要提供一种经济且可靠的解决方案,以便为FPGA芯片提供参考时钟。
发明内容
有鉴于此,本发明提出了一种FPGA芯片内的时钟生成单元,其能够解决以上技术问题。
本发明的技术方案如下:
一种FPGA芯片内的时钟生成单元,包括:
输入选择器,其包括时钟使能端、输入选择器输入端和输入选择器输出端;
查找表,其包括查找表输入端和查找表输出端,所述查找表被配置为:当所述查找表输入端为低电平时,所述查找表输出端输出高电平,当所述查找表输入端为高电平时,所述查找表输出端输出低电平;
延时链,其包括延时链输入端和延时链输出端,所述延时链输出端相对于所述延时链输入端具有延时链延时DDC
其中,所述输入选择器输入端与所述延时链输出端连接,所述输入选择器输出端与所述查找表输入端连接,所述查找表输出端与所述延时链输入端连接,所述输入选择器被配置为:当所述时钟使能端为低电平时,所述输入选择器输出端为低电平,当所述时钟使能端为高电平时,所述输入选择器输出端输出所述输入选择器输入端的电平。
根据本发明一优选实施例,所述查找表输出端还与时钟输出端连接。
根据本发明一优选实施例,所述查找表输出端与所述时钟输出端之间连接有时钟缓冲器。
根据本发明一优选实施例,所述输入选择器输出端相对于所述输入选择器输入端具有输入选择器延时DIS,所述查找表输出端相对于所述查找表输入端具有查找表延时DLUT,所述时钟输出端输出的时钟信号的周期为T=2(DDC+DIS+DLUT)。
根据本发明一优选实施例,所述时钟信号的频率为f=1/(2(DDC+DIS+DLUT))。
根据本发明一优选实施例,所述延时链包括串联的n个延时单元,每个延时单元产生延时t,所述延时链延时DDC=n×t,其中n为正整数。
一种FPGA芯片,该FPGA芯片实现以上描述的时钟生成单元。
由以上技术方案可以看出,本发明的FPGA芯片内的时钟生成单元利用FPGA芯片内的输入选择器、查找表和延时链,得到稳定的时钟输出。本发明的时钟生成单元无需在硬件上对FPGA做任何更改或维修,不仅可以省去因硬件改版或硬件维修带来的成本,而且可以避免因硬件改版或维修带来的风险,是一种经济又可靠的解决方案。
附图说明
参照附图,本发明的公开内容将变得更易理解。本领域技术人员容易理解的是,这些附图仅仅用于举例说明本发明的技术方案,而并非意在对本发明的保护范围构成限定。图中:
图1为根据本发明实施例的FPGA内的时钟生成单元的结构示意图。
具体实施方式
为了使本发明的目的、技术方案和优点更加清楚,下面结合附图和具体实施例对本发明进行详细描述。
图1为根据本发明实施例的FPGA内的时钟生成单元的结构示意图。如图1所示,时钟生成单元包括输入选择器、查找表和延时链。
在本实施例中,输入选择器包括使能端、输入选择器输入端和输入选择器输出端。信号从选择器输入端到选择器输出端会产生选择器延时DIS。输入选择器输入端与延时链输出端连接,输入选择器输出端与查找表输入端连接。输入选择器被配置为:当时钟使能端为低电平时,输入选择器输出端输出低电平;当时钟使能端为高电平时,输入选择器输出端输出输入选择器输入端的电平。为了实现以上配置,可以将输入选择器的另一个输入端与恒定的低电平信号连接。当使能端为低电平时,输入选择器的输出端可以输出另一个输入端的恒定的低电平信号。在本实施例中,低电平信号表示逻辑“0”,高电平信号表示逻辑“1”。
在本实施例中,查找表包括查找表输入端和查找表输出端。信号从查找表输入端到查找表输出端会产生查找表延时DLUT。查找表输入端与输入选择器输出端连接,查找表输出端与延时链输入端连接。如图1所示,查找表内部包括两列,左侧一列a代表查找表输入端电平,右侧一列b代表查找表输出端电平。当所述查找表输入端为逻辑“0”(即低电平)时,查找表输出端输出逻辑1(即高电平)。反之,当查找表输入端为逻辑“1”(即高电平)时,查找表输出端输出逻辑“0”(即低电平)。可见,查找表实现了反相器的作用,即把输入的高电平变成输出的低电平,将输入的低电平变成输出的高电平。在本实施例中,查找表输出端与时钟输出端连接,以便输出时钟信号。优选地,查找表输出端与时钟输出端之间还连接有时钟缓冲器,以便加强时钟生成单元的带负载能力,同时减少负载对时钟生成单元的干扰和影响。
在本实施例中,延时链包括延时链输入端和延时链输出端。延时链输出端相对于延时链输入端具有延时链延时DDC。如图1所示,延时链包括串联的n个延时单元,每个延时单元产生延时t。因此,延时链延时即为所有延时单元的总延时,DDC=DDC=n×t,其中n为正整数。
以下将结合图1动态地介绍根据本发明的时钟生成单元生成时钟信号的具体步骤。在以下描述中,二进制数0代表逻辑“0”(即低电平),二进制数1代表逻辑“1”(即高电平)。
1)当时钟使能端为0(即时钟使能关闭)时,选择器输出常值0。此时,查找表输入端a为常值0,查找表输出端b为常值1。
2)当时钟使能端变为1(即时钟使能开启)时,输入选择器输出端为1,查找表输入端a为1,查找表输出端b为0。
3)随后,查找表输出端b的0值经过延时链延时n个t(即n×t)后到达输入选择器输入端。由于此时使能端为1,因此输入选择器输出端输出输入选择器输入端的值0。
4)由于查找表输入端a与输入选择器输出端连接,因此查找表输入端a变为0,查找表输出端b变为1。
5)随后,查找表输出端的值1经过延时链延时n个t(即n×t)后到达输入选择器输入端。由于此时使能端为1,因此输入选择器输出端输出输入选择器输入端的值1。
6)由于查找表输入端a与输入选择器输出端连接,因此查找表输入端a变为1,查找表输出端b变为0。
7)重复步骤3至6,在查找表输出端b输出稳定的时钟信号。
通过以上步骤根据本实施例的时钟生成单元生成1(高电平)和0(低电平)交替的方波时钟信号。当需要停止产生时钟信号时,可以将时钟使能端设为0(低电平)。此时查找表输入端为低电平,查找表输出端恒定为1,因此不再产生1和0交替的时钟信号。
从以上步骤可以看出,查找表输出端b从值1开始,需要经过延时链、输入选择器,再经查找表反相之后变成0,这个过程的经过的时间为延时链延时DDC、输入选择器延时DIS以及查找表延时DLUT之和,即DDC+DIS+DLUT。然后,又经过时间DDC+DIS+DLUT之后,查找表输出端b又从0变回值1。可见,在查找表输出端输出的时钟信号的周期为T=2(DDC+DIS+DLUT)。由于频率等于周期的倒数,所以在查找表输出端输出的时钟信号的频率f=1/(2(DDC+DIS+DLUT))。在设计时钟生成单元时,可以通过增加或减少延时链中的延时单元的数量调整延时链延时DDC,进而产生所需要的时钟周期或时钟频率。
在本实施例中,由于时钟输出端与查找表输出端连接,因此时钟信号是从查找表输出端产生的。需要理解的是,在其他实施例中,时钟输出端也可以与例如查找表输入端或延时链输出端连接,这两种连接方式都可以产生稳定的时钟输出,时钟信号的周期和频率与查找表输出端输出的时钟信号相同。
在现有硬件FPGA缺少参考时钟的情况下,可以通过软件编程的方式在FPGA中实现以上描述的时钟生成单元,从而为FPGA提供稳定且可靠的时钟。
以上所述仅为本发明的较佳实施例而已,并不用以限制本发明,凡在本发明的精神和原则之内,所做的任何修改、等同替换、改进等,均应包含在本发明保护的范围之内。

Claims (7)

1.一种FPGA芯片内的时钟生成单元,包括:
输入选择器,其包括时钟使能端、输入选择器输入端和输入选择器输出端;
查找表,其包括查找表输入端和查找表输出端,所述查找表被配置为:当所述查找表输入端为低电平时,所述查找表输出端输出高电平,当所述查找表输入端为高电平时,所述查找表输出端输出低电平;
延时链,其包括延时链输入端和延时链输出端,所述延时链输出端相对于所述延时链输入端具有延时链延时DDC
其中,所述输入选择器输入端与所述延时链输出端连接,所述输入选择器输出端与所述查找表输入端连接,所述查找表输出端与所述延时链输入端连接,所述输入选择器被配置为:当所述时钟使能端为低电平时,所述输入选择器输出端为低电平,当所述时钟使能端为高电平时,所述输入选择器输出端输出所述输入选择器输入端的电平。
2.根据权利要求1所述的时钟生成单元,其特征在于,所述查找表输出端还与时钟输出端连接。
3.根据权利要求3所述的时钟生成单元,其特征在于,所述查找表输出端与所述时钟输出端之间连接有时钟缓冲器。
4.根据权利要求2所述的时钟生成单元,其特征在于,所述输入选择器输出端相对于所述输入选择器输入端具有输入选择器延时DIS,所述查找表输出端相对于所述查找表输入端具有查找表延时DLUT,所述时钟输出端输出的时钟信号的周期为T=2(DDC+DIS+DLUT)。
5.根据权利要求4所述的时钟生成单元,其特征在于,所述时钟信号的频率为
f=1/(2(DDC+DIS+DLUT))。
6.根据权利要求1所述的时钟生成单元,其特征在于,所述延时链包括串联的n个延时单元,每个延时单元产生延时t,所述延时链延时DDC=n×t,其中n为正整数。
7.一种FPGA芯片,其特征在于,所述FPGA芯片实现根据权利要求1至6中任一项所述的时钟生成单元。
CN202011024173.9A 2020-09-25 2020-09-25 Fpga芯片内的时钟生成单元 Pending CN112202425A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011024173.9A CN112202425A (zh) 2020-09-25 2020-09-25 Fpga芯片内的时钟生成单元

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202011024173.9A CN112202425A (zh) 2020-09-25 2020-09-25 Fpga芯片内的时钟生成单元

Publications (1)

Publication Number Publication Date
CN112202425A true CN112202425A (zh) 2021-01-08

Family

ID=74006608

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011024173.9A Pending CN112202425A (zh) 2020-09-25 2020-09-25 Fpga芯片内的时钟生成单元

Country Status (1)

Country Link
CN (1) CN112202425A (zh)

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050068110A1 (en) * 2003-09-25 2005-03-31 Keven Hui Digital programmable delay scheme with automatic calibration
CN101334440A (zh) * 2007-06-26 2008-12-31 东部高科股份有限公司 提高标准单元库性能的测量装置
US20110096864A1 (en) * 2009-10-28 2011-04-28 Maxlinear, Inc. Programmable digital clock control scheme to minimize spur effect on a receiver
CN103116163A (zh) * 2013-01-29 2013-05-22 东南大学 一种激光传感响应测距装置及控制方法
CN103208994A (zh) * 2013-03-11 2013-07-17 东南大学 一种两段式时间数字转换电路
CN103427798A (zh) * 2013-08-21 2013-12-04 电子科技大学 一种多相位时钟产生电路
CN103916102A (zh) * 2014-03-10 2014-07-09 北京时代民芯科技有限公司 一种fpga内嵌全数字低功耗时钟产生电路
CN104579320A (zh) * 2014-12-26 2015-04-29 深圳市国微电子有限公司 时钟延迟方法、装置、延迟锁相环及数字时钟管理单元
CN105159374A (zh) * 2015-08-31 2015-12-16 东南大学 面向超宽电压的在线监测单元及监测窗口自适应调节系统
CN105807206A (zh) * 2016-03-11 2016-07-27 福州瑞芯微电子股份有限公司 一种芯片测试时钟电路及其测试方法
CN109446673A (zh) * 2018-11-01 2019-03-08 京微齐力(北京)科技有限公司 一种通过部分映射时钟使能信号来改善布局完成率的方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050068110A1 (en) * 2003-09-25 2005-03-31 Keven Hui Digital programmable delay scheme with automatic calibration
CN101334440A (zh) * 2007-06-26 2008-12-31 东部高科股份有限公司 提高标准单元库性能的测量装置
US20110096864A1 (en) * 2009-10-28 2011-04-28 Maxlinear, Inc. Programmable digital clock control scheme to minimize spur effect on a receiver
CN103116163A (zh) * 2013-01-29 2013-05-22 东南大学 一种激光传感响应测距装置及控制方法
CN103208994A (zh) * 2013-03-11 2013-07-17 东南大学 一种两段式时间数字转换电路
CN103427798A (zh) * 2013-08-21 2013-12-04 电子科技大学 一种多相位时钟产生电路
CN103916102A (zh) * 2014-03-10 2014-07-09 北京时代民芯科技有限公司 一种fpga内嵌全数字低功耗时钟产生电路
CN104579320A (zh) * 2014-12-26 2015-04-29 深圳市国微电子有限公司 时钟延迟方法、装置、延迟锁相环及数字时钟管理单元
CN105159374A (zh) * 2015-08-31 2015-12-16 东南大学 面向超宽电压的在线监测单元及监测窗口自适应调节系统
CN105807206A (zh) * 2016-03-11 2016-07-27 福州瑞芯微电子股份有限公司 一种芯片测试时钟电路及其测试方法
CN109446673A (zh) * 2018-11-01 2019-03-08 京微齐力(北京)科技有限公司 一种通过部分映射时钟使能信号来改善布局完成率的方法

Similar Documents

Publication Publication Date Title
CN1292540C (zh) 开电复位电路和方法
US9118315B2 (en) Scheme to improve the performance and reliability in high voltage IO circuits designed using low voltage devices
KR100432923B1 (ko) 넓은 주파수 대역에 대응할 수 있는 레지스터 및 이를이용한 신호 발생 방법
US20210028776A1 (en) Selectable Delay Buffers and Logic Cells for Dynamic Voltage Scaling in Ultra Low Voltage Designs
US20230236622A1 (en) Clock circuits, computing chips, hash boards and data processing devices
CN112202425A (zh) Fpga芯片内的时钟生成单元
CN108664066B (zh) 一种芯片及其电压调节方法
US11323116B2 (en) Multi-level drive data transmission circuit and method
CN210666783U (zh) 一种高密度arm微服务器的控制电路
CN102204105B (zh) 一种i/o电路和集成电路
CN112165314A (zh) Fpga芯片内的频率可调的时钟生成单元
CN108055022B (zh) 一种带抗振荡结构的rs触发器电路
US20240077906A1 (en) Processor and computing system
US20090132883A1 (en) Test circuit
US10559351B2 (en) Methods and apparatus for reduced area control register circuit
US11722131B2 (en) Adaptive anti-aging sensor based on cuckoo algorithm
CN105590610B (zh) 液晶面板驱动系统中的切角电路
US8013635B2 (en) Multi-mode circuit and a method for preventing degradation in the multi-mode circuit
US11238910B2 (en) Control signal generator and driving method thereof
US9013218B2 (en) Dual-port negative level sensitive reset data retention latch
US9018976B2 (en) Dual-port positive level sensitive reset preset data retention latch
KR20220085266A (ko) 전원 도메인 변경 회로와 그의 동작 방법
US10454457B1 (en) Self-gating flip-flop
US11604692B2 (en) Field programmable gate array (FPGA) with automatic error detection and correction function for programmable logic modules
US20200067507A1 (en) Low voltage tolerant ultra-low power edge triggered flip-flop for standard cell library

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination