CN111834300A - 半导体器件及其形成方法 - Google Patents

半导体器件及其形成方法 Download PDF

Info

Publication number
CN111834300A
CN111834300A CN201910314464.2A CN201910314464A CN111834300A CN 111834300 A CN111834300 A CN 111834300A CN 201910314464 A CN201910314464 A CN 201910314464A CN 111834300 A CN111834300 A CN 111834300A
Authority
CN
China
Prior art keywords
layer
sacrificial layer
region
liner
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910314464.2A
Other languages
English (en)
Inventor
周飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201910314464.2A priority Critical patent/CN111834300A/zh
Priority to US16/851,645 priority patent/US20200335402A1/en
Publication of CN111834300A publication Critical patent/CN111834300A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供半导体器件及其形成方法,包括提供衬底,所述衬底包括第一区和第二区,所述衬底上形成有第一牺牲层、位于所述第一牺牲层上的第一衬层、位于所述第一衬层上的第二牺牲层和位于所述第二牺牲层上的第二衬层;依次去除所述第二区的所述第二衬层、所述第二牺牲层、所述第一衬层、所述第一牺牲层以及部分厚度的所述衬底;在所述第二区的衬底上形成第三牺牲层、位于所述第三牺牲层上的第三衬层、位于所述第三衬层上的第四牺牲层和位于所述第四牺牲层上的第四衬层;所述第三牺牲层的厚度大于所述第一牺牲层的厚度;所述第四牺牲层的厚度大于所述第二牺牲层的厚度;本发明便于形成全包围栅结构时材料层的填充,提高半导体器件的性能与稳定性。

Description

半导体器件及其形成方法
技术领域
本发明涉及半导体制造技术领域,尤其涉及一种半导体器件及其形成方法。
背景技术
随着半导体制造技术的飞速发展,半导体器件朝着更高的元件密度,以及更高的集成度的方向发展。器件作为最基本的半导体器件,目前正被广泛应用,传统的平面器件对沟道电流的控制能力变弱,产生短沟道效应而导致漏电流,最终影响半导体器件的电学性能。
为了克服器件的短沟道效应,抑制漏电流,现有技术提出了鳍式场效应晶体管(Fin FET),鳍式场效应晶体管是一种常见的多栅器件,鳍式场效应晶体管的结构包括:位于半导体衬底表面的鳍部和隔离层,所述隔离层覆盖部分所述鳍部的侧壁,且隔离层表面低于鳍部顶部;位于隔离层表面,以及鳍部的顶部和侧壁表面的栅极结构;位于所述栅极结构两侧的鳍部内的源区和漏区。
随着对器件性能不断提出的更高要求,催生了四面控制的全包围栅结构(Gate-all-around)。具有全包围栅极(Gate-all-around)结构的半导体器件拥有有效地限制短沟道效应(Short channel effect)的特殊性能,正是业界在遵循摩尔定律不断缩小器件尺寸的革新中所极其渴望的。
然而,现有技术形成的全包围栅极结构半导体器件的性能较差。
发明内容
本发明解决的问题是提供一种半导体器件及其形成方法,提高半导体器件的性能。
为解决上述问题,本发明提供半导体器件的形成方法,包括:提供衬底,所述衬底包括第一区和第二区,所述衬底上形成有第一牺牲层、位于所述第一牺牲层上的第一衬层、位于所述第一衬层上的第二牺牲层和位于所述第二牺牲层上的第二衬层;依次去除所述第二区的所述第二衬层、所述第二牺牲层、所述第一衬层、所述第一牺牲层以及部分厚度的所述衬底;在所述第二区的衬底上形成第三牺牲层、位于所述第三牺牲层上的第三衬层、位于所述第三衬层上的第四牺牲层和位于所述第四牺牲层上的第四衬层;所述第三牺牲层的厚度大于所述第一牺牲层的厚度;所述第四牺牲层的厚度大于所述第二牺牲层的厚度;刻蚀所述第一区的所述第二衬层、所述第二牺牲层、所述第一衬层、所述第一牺牲层以及部分厚度的衬底,形成第一区鳍部;同时刻蚀所述第二区的所述第四衬层、所述第四牺牲层、所述第三衬层、所述第三牺牲层以及部分厚度的衬底,形成第二区鳍部;去除所述第一区鳍部上的所述第一牺牲层,在所述第一区鳍部上形成第一沟槽;同时去除所述第一区鳍部上的所述第二牺牲层,在所述第一区鳍部上形成第二沟槽;同时去除所述第二区鳍部上的所述第三牺牲层,在所述第二区鳍部上形成第三沟槽;同时去除所述第二区鳍部上的所述第四牺牲层,在所述第二区鳍部上形成第四沟槽。
可选的,所述第一区为NMOS区,所述第二区为PMOS区;或者所述第一区为PMOS区,所述第二区为NMOS区。
可选的,采用外延生长方式在所述衬底上形成有第一牺牲层、位于所述第一牺牲层上的第一衬层、位移所述第一衬层上的第二牺牲层和位于所述第二牺牲层上的第二衬层。
可选的,采用外延生长方式在所述第二区的衬底上形成第三牺牲层、位于第三牺牲层上的所述第三衬层、位于第三衬层上的第四牺牲层和位于第四牺牲层上的第四衬层。
可选的,所述第一衬层的材料包括硅或锗或锗硅或砷化镓中的一种或者多种。
可选的,所述第二衬层的材料包括硅或锗或锗硅或砷化镓中的一种或者多种。
可选的,所述第三衬层的材料包括硅或锗或锗硅或砷化镓中的一种或者多种。
可选的,所述第四衬层的材料包括硅或锗或锗硅或砷化镓中的一种或者多种。
可选的,所述第一牺牲层的材料包括硅或锗硅或碳化硅或砷化镓或砷化铟镓中的一种或者多种。
可选的,所述第二牺牲层的材料包括硅或锗硅或碳化硅或砷化镓或砷化铟镓中的一种或者多种。
可选的,所述第三牺牲层的材料包括硅或锗硅或碳化硅或砷化镓或砷化铟镓中的一种或者多种。
可选的,所述第四牺牲层的材料包括硅或锗硅或碳化硅或砷化镓或砷化铟镓中的一种或者多种。
可选的,在形成所述第一区鳍部和所述第二区鳍部之后,去除所述第一区鳍部上的所述第一牺牲层之前还包括,在所述第一区的所述衬底上形成第一氧化层,同时在所述第二区的所述衬底上形成第二氧化层,所述第一氧化层的顶部与所述第一牺牲层的底部齐平;所述第二氧化层的顶部与所述第三牺牲层的底部齐平。
一种半导体器件,包括:衬底,所述衬底包括第一区和第二区;第一衬层,位于所述第一区的衬底上;第二衬层,位于所述第一衬层上;第一沟槽,位于所述第一区的衬底与所述第一衬层之间;第二沟槽,位于所述第一衬层与所述第二衬层之间;第三衬层,位于所述第二区的衬底上;第四衬层,位于所述第三衬层上;第三沟槽,位于所述第二区的衬底与所述第三衬层之间;第四沟槽,位于所述第三衬层与所述第四衬层之间。
与现有技术相比,本发明的技术方案具有以下优点:
由于所述第三牺牲层的厚度大于所述第一牺牲层的厚度和所述第四牺牲层的厚度大于所述第二牺牲层的厚度,所以去除所述第三牺牲层后形成的所述第三沟槽要比去除所述第一牺牲层后形成的所述第一沟槽要大;同理所以去除所述第四牺牲层后形成的所述第四沟槽要比去除所述第二牺牲层后形成的所述第二沟槽要大;这样在所述第一沟槽内、所述第二沟槽内、所述第三沟槽内、所述第四沟槽内填充材料层时;一方面便于在所述第一区和所述第二区上形成不同厚度的材料层;另一方面,当需要填充厚度较厚的材料层时,将较厚的材料层填充到沟槽较大的所述第三沟槽和所述第四沟槽,由于所述第三沟槽和所述第四沟槽能够提供足够大的空间,所述第三沟槽和所述第四沟槽内部气压较小,在填充材料层时由于内部气压对材料层的作用力小,保证材料层填充所述第三沟槽和所述第四沟槽的时候不会依附在所述第三沟槽和所述第四沟槽的边缘上而引起边缘的问题,同时不会在材料层的内部产生气孔保证填充的材料层的质量,从而便于提高半导体器件的性能。
附图说明
图1至图3是一种图形结构形成过程的结构示意图;
图4至图9是本发明第一实施例中半导体器件形成过程的结构示意图;
图10至图11是本发明第二实施例中半导体器件形成过程的结构示意图。
具体实施方式
目前半导体器件的性能稳定性差,具体参考图1至图3。
图1至图3是一种半导体器件形成过程的结构示意图。
参考图1,提供衬底1,所述衬底1,所述衬底包括第一区110和第二区120,所述衬底1上形成有第一牺牲层101、位于所述第一牺牲层101上的第一衬层102、位于所述第一衬层102上的第二牺牲层103以及位于所述第二牺牲层103上的第二衬层104。
参考图2,依次刻蚀所述第二衬层104、第二牺牲层103、第一衬层102以及第一牺牲层101,在所述第一区110上形成第一区鳍部111、在所述第二区120上形成第二区鳍部121。
参考图3,分别去除所述第一区鳍部111上的所述第一牺牲层101和所述第二牺牲层103,在所述第一区110的所述衬底1与所述第一衬层102之间形成第一沟槽112、在所述第一区110的所述第一衬层102与所述第二衬层104之间形成第二沟槽113;
分别去除所述第二区鳍部121上的所述第一牺牲层101和所述第二牺牲层103,在所述第二区120的所述衬底1与所述第一衬层102之间形成第三沟槽122、在所述第二区120的所述第一衬层102与所述第二衬层104之间形成第四沟槽123。
发明人发现,利用上述方法,形成的所述第一沟槽112、所述第二沟槽113、所述第三沟槽122和所述第四沟槽123的大小相同,向所述第一沟槽112、所述第二沟槽113、所述第三沟槽122和所述第四沟槽123填充材料层时,一方面不便于在所述第一区110和所述第二区120上形成不同厚度的材料层;另一方面,当需要在所述第一区110或者所述第二区120的沟槽内填充厚度较厚的材料层时,由于所述沟槽提供的空间较小,在材料层填充的时候,容易出现材料层只填充在沟槽的边缘上的现象,而引起桥接的问题从而降低了半导体器件的使用性能。
发明人研究发现,在第一区和第二区上形成不同厚度的牺牲层,利用牺牲层先占好空间,在后续去除牺牲层的时候,便能形成不同大小的沟槽,这样一方面利用不同大小的沟槽便于在第一区和第二区上形成不同厚度的材料层;另一方面,利用不同大小的沟槽,在填充材料层的时候,将需要形成较厚的材料层填充到较大的沟槽内,由于沟槽内的气压较小,材料层能够充分的填充到沟槽内而不会仅仅附着在沟槽的边缘上而引起桥接问题,降低半导体器件的使用性能。
为使本发明的上述目的、特征和优点能够更为明显易懂,下面结合附图对本发明的具体实施例做详细地说明。
第一实施例
首先参考图4,提供衬底200,所述衬底200包括第一区210和第二区220。
本实施例中,所述衬底200的材料为单晶硅;其他实施例中,所述衬底200可以是多晶硅或非晶硅;所述衬底200也可以是硅、锗、锗硅、砷化镓等半导体材料。
本实施例中,所述第一区210为NMOS区,所述第二区220为PMOS区。
其他实施例中,所述第一区为PMOS区,所述第二区为NMOS区。
参考图5,在所述衬底200上依次形成第一牺牲层201、第一衬层202、第二牺牲层203和第二衬层204。
本实施例中,所述第一牺牲层201的材料为锗硅(SiGe);其他实施例中,所述第一牺牲层201的材料还可以为硅或锗或砷化镓中的一种或者多种。
本实施例中,所述第一衬层202的材料为硅(Si);其他实施例中,所述第一衬层202的材料还可为锗硅或碳化硅或砷化镓或砷化铟镓中的一种或者多种。
本实施例中,所述第二牺牲层203的材料为锗硅(SiGe);其他实施例中,所述第二牺牲层203的材料还可以为硅或锗或砷化镓中的一种或者多种。
本实施例中,所述第二衬层204的材料为硅(Si);其他实施例中,所述第二衬层204的材料还可为锗硅或碳化硅或砷化镓或砷化铟镓中的一种或者多种。
本实施例中,所述第一衬层202与所述第二衬层204的材料一样;其他实施例中,所述第一衬层202与所述第二衬层204的材料还可不一样。
本实施例中,所述第一牺牲层201与所述第二牺牲层203的材料一样;其他实施例中,所述第一牺牲层201与所述第二牺牲层203的材料还可不一样。
本实施例中,所述第一衬层202的厚度与所述第二衬层204的厚度相同;其他实施例中,所述第一衬层202的厚度与所述第二衬层204的厚度还可以不相同。
本实施例中,所述第一牺牲层201和所述第二牺牲层203的厚度相同;其他实施例中,所述第一牺牲层201和所述第二牺牲层203的厚度还可不相同。
本实施例中,采用外延生长的方式形成第一牺牲层201、第一衬层202、第二牺牲层203以及第二衬层204。
本实施例中,形成所述第一牺牲层201和所述第二牺牲层203的工艺参数包括:采用的气体包括氢气(H2)、氯化氢(HCl)气体、DCS气体、GeH4气体以及B2H6气体,其中所述氢气(H2)的气体流量为10~3000sccm;所述氯化氢(HCl)气体的气体流量为10~200sccm;所述DCS气体的气体流量为20~2000sccm;所述GeH4的气体流量为10~500sccm;所述B2H6气体的气体流量为5~600sccm;温度范围为600℃~850℃,压强范围为8~300毫托,时间为10min~1h。
本实施例中,形成所述第一衬层202和所述第二衬层204的工艺参数包括采用的气体包括氢气(H2)、氯化氢(HCl)气体、DCS气体、SiH4气体以及B2H6气体;其中,所述氢气(H2)的气体流量为10~3000sccm;所述氯化氢(HCl)气体的气体流量为10~250sccm;所述DCS气体的气体流量为20~2500sccm;所述SiH4气体的气体流量为10~700sccm;所述B2H6气体的气体流量为5~400sccm;压强范围8~~300毫托;温度为600℃~850℃之间。
本实施例中,采用外延生长的方式形成所述牺牲层以及所述衬层的原因是,外延生长方式可以获得电导率高的P型和N型材料,获得的牺牲层和衬层的完整性好,利于形成质量好的半导体器件。
其他实施例中,还可以采用离子掺杂工艺或者化学气相沉积的方法在所述衬底200上形成第一牺牲层201、位于所述第一牺牲层201上的第一衬层202、位于所述第一衬层202上的第二牺牲层203和位于所述第二牺牲层203上的第二衬层204。
参考图6,去除第二区220的第二衬层204、第二牺牲层203、第一衬层202、第一牺牲层201以及部分厚度的衬底200。
本实施例中,去除所述第二牺牲层203和所述第一牺牲层201工艺为湿法刻蚀工艺。所述湿法刻蚀溶液对硅和硅锗有很好的选择比,能够保证在去除锗硅的同时,硅的形貌不受影响。本实施例中所述湿法刻蚀的参数包括:刻蚀液为HCl气体的溶液,温度为25摄氏度~300摄氏度,所述HCl气体的溶液的体积百分比为20%~90%。
本实施例中,去除所述第二衬层204、所述第一衬层202以及部分厚度的所述衬底200工艺为湿法刻蚀工艺。所述湿法刻蚀的刻蚀液对硅和锗硅有很好的选择比,能够保证在去除硅的同时,锗硅的形貌不受影响。所述湿法刻蚀工艺的参数包括:刻蚀液为四甲基氢氧化铵溶液,温度为20摄氏度~80摄氏度,所述四甲基氢氧化铵溶液的体积百分比为10%~80%。
参考图7,在所述第二区220的衬底200上形成第三牺牲层205、位于所述第三牺牲层205上的第三衬层206、位于所述第三衬层206上的第四牺牲层207和位于所述第四牺牲层207上的第四衬层208。
本实施例中,所述第三牺牲层205的材料为锗硅(SiGe);其他实施例中,所述第三牺牲层205的材料还可以为硅或锗或砷化镓中的一种或者多种。
本实施例中,所述第三衬层206的材料为硅(Si);其他实施例中,所述第三衬层206的材料还可为锗硅或者碳化硅或者砷化镓或者砷化铟镓中的一种或者多种。
本实施例中,所述第四牺牲层207的材料为锗硅(SiGe);其他实施例中,所述第四牺牲层207的材料还可以为硅或锗或砷化镓中的一种或者多种。
本实施例中,所述第四衬层208的材料为硅(Si);其他实施例中,所述第四衬层208的材料还可为锗硅或者碳化硅或者砷化镓或者砷化铟镓中的一种或者多种。
本实施例中,所述第三牺牲层205和所述第四牺牲层207的材料相同;其他实施例中,所述第三牺牲层205和所述第四牺牲层207的材料还可以不同。
本实施例中,所述第三衬层206和所述第四衬层208的材料相同;其他实施例中,所述第三衬层206和所述第四衬层208的材料还可以不同。
本实施例中,采用外延生长方式在所述第二区220的衬底200上形成第三牺牲层205、位于第三牺牲层205上的第三衬层206、位于第三衬层206上的第四牺牲层207和位于第四牺牲层207上的第四衬层208。
其他实施例中,还可采用化学气相沉积或者原子层沉积或者离子掺杂等方式形成所述第三牺牲层205、所述第三衬层206、所述第四牺牲层207和所述第四衬层208。
本实施例中,采用与形成所述第一衬层202和所述第二衬层204相同的工艺参数形成所述第三衬层206和所述第四衬层208;其他实施例中,还可采用不同的工艺参数形成所述第三衬层206和所述第四衬层208。
本实施例中,形成所述第三牺牲层205和所述第四牺牲层207的工艺参数包括:采用的气体包括氢气(H2)、氯化氢(HCl)气体、DCS气体、GeH4气体以及B2H6气体,其中所述氢气(H2)的气体流量为10~3000sccm;所述氯化氢(HCl)气体的气体流量为10~200sccm;所述DCS气体的气体流量为20~2000sccm;所述GeH4的气体流量为10~500sccm;所述B2H6气体的气体流量为5~600sccm;温度范围为600℃~850℃,压强范围为8~300毫托,时间为10min~1h。
本实施例中,所述第三牺牲层205的厚度与所述第四牺牲层207的厚度相同;其他实施例中,所述第三牺牲层205的厚度与所述第四牺牲层207的厚度可以不相同。
本实施例中,所述第三衬层206的厚度与所述第四衬层208的厚度相同;其他实施例中,所述第三衬层206的厚度与所述第四衬层208的厚度还可以不相同。
本实施例中,所述第三牺牲层205的厚度大于所述第一牺牲层201的厚度;所述第四牺牲层207的厚度大于所述第二牺牲层203的厚度。这样设置的目的是在后续去除所述牺牲层形成沟槽时,在所述第二区220能够形成较大的沟槽。
本实施例中,所述第一衬层202的厚度和所述第三衬层206的厚度相同;其他实施例中,所述第一衬层202的厚度和所述第三衬层206的厚度还可不相同。
本实施例中,所述第二衬层204的顶部表面与所述第四衬层208的顶部表面齐平;其他实施例中,述第二衬层204的顶部表面与所述第四衬层208的顶部表面还可不齐平。
本实施例中,所述第二牺牲层203的顶部表面与所述第四牺牲层207的顶部表面齐平;其他实施例中,所述第二牺牲层203的顶部表面与所述第四牺牲层207的顶部表面还可以不齐平。
参考图8,刻蚀所述第一区210的所述第二衬层204、所述第二牺牲层203、所述第一衬层202、所述第一牺牲层201以及部分厚度的衬底200,形成第一区鳍部230;同时刻蚀所述第二区220的所述第四衬层208、所述第四牺牲层207、所述第三衬层206、所述第三牺牲层205以及部分厚度的衬底200,形成第二区鳍部240。
本实施例中,采用刻蚀工艺形成所述第一区鳍部230的工艺参数包括,采用CF4气体、氢气(H2),其中所述CF4气体的流量为10~300sccm、所述氢气(H2)的气体流量为20~500sccm,反应时间为5~~500s;
本实施例中,形成所述第二区鳍部240的工艺参数包括采用的刻蚀气氛为、氧气(O2)、CH3F气体以及氦气(He)混合气氛,所述氧气(O2)的气体流量为5~~9005sccm、所述CH3F气体的气体流量为60~8000sccm、所述氦气(He)的气体流量为60~2000sccm,反应时间为50~10000s。
参考图9,形成第一沟槽231、第二沟槽232、第三沟槽241以及第四沟槽242。
去除所述第一区鳍部230上的所述第一牺牲层201,在所述第一区鳍部230上形成第一沟槽231;
同时去除所述第一区鳍部230上的所述第二牺牲层203,在所述第一区鳍部230上形成第二沟槽232;
同时去除所述第二区鳍部240上的所述第三牺牲层205,在所述第二区鳍部240上形成第三沟槽241;
同时去除所述第二区鳍部240上的所述第四牺牲层207,在所述第二区鳍部240上形成第四沟槽242。
本实施例中,由于所述第三牺牲层205的厚度大于所述第一牺牲层201的厚度;所述第四牺牲层207的厚度大于所述第二牺牲层203的厚度,所以形成的所述第三沟槽241、所述第四沟槽242要比所述第一沟槽231、所述第二沟槽232要大。利用较厚的所述第三牺牲层205和所述第四牺牲层207先占好较大空间位置,在去除所述第一牺牲层201、第二牺牲层203、所述第三牺牲层205和所述第四牺牲层207,才能保证形成第三沟槽241和第四沟槽242的尺寸要比形成的第一沟槽231和第二沟槽232的尺寸要大,那么在第三沟槽241和第四沟槽242内就容易形成较厚的材料层,在第一沟槽231和第二沟槽232内形成较薄的材料层,能够满足不同功能区对不同厚度材料层的需求;同时由于第三沟槽241和第四沟槽242的尺寸较大,在形成较厚的材料层时,便于材料层在沟槽内均匀的填充,不会由于沟槽尺寸较小,从而导致沟槽内具有较高的气压而导致材料层只能附着在沟槽的侧壁上而引起桥接的问题。
本实施例中,去除所述第一区鳍部230上的所述第一牺牲层201、所述第一区鳍部230上的所述第二牺牲层203、去除所述第二区鳍部240上的所述第三牺牲层205以及去除所述第二区鳍部240上的所述第四牺牲层207的工艺中采用水蒸气和氯化氢(HCl气体)的混合气体,两者的体积比为20~90%,温度25~300℃。
利用上述方法形成的一种半导体器件,包括:衬底200,所述衬底200包括第一区210和第二区220;第一衬层202,位于所述第一区210的衬底200上;第二衬层204,位于所述第一衬层202上;第一沟槽231,位于所述第一区210的衬底200与所述第一衬层202之间;第二沟槽232,位于所述第一衬层202与所述第二衬层204之间;第三衬层206,位于所述第二区220的衬底200上;第四衬层208,位于所述第三衬层206上;第三沟槽241,位于所述第二区220的衬底200与所述第三衬层206之间;第四沟槽242,位于所述第三衬层206与所述第四衬层208之间。
形成第一沟槽231、第二沟槽232、第三沟槽241以及第四沟槽242后,后续所述形成包围所述第一区鳍部230上所述第一衬层202的第一材料层;同时形成包围所述第一区鳍部230上所述第二衬层204的第二材料层;同时形成包围所述第二区鳍部240上所述第三衬层206的第三材料层;同时形成包围所述第二区鳍部240上所述第四衬层208的第四材料层。
第一材料层、第二材料层、第三材料层以及第四材料层的形成方法以及材料相同;以第三材料层的形成为例,其形成步骤包括,在所述第三沟槽241和所述第四沟槽242内形成包围所述第三衬层206的界面层(IL);形成所述界面层(IL)之后,在所述界面层(IL)上形成高k金属层;形成高k金属层后,在所述形成高k金属层上形成功函层。
第二实施例
第二实施例中从提供衬底200到形成所述第一区鳍部230和所述第二区鳍部240的过程与第一实施例相同,参考图4至图8。
第二实施例与第一实施例的差别仅在于,在形成所述第一区鳍部230和所述第二区鳍部240之后,去除所述第一区鳍部上的所述第一牺牲层201之前还包括,在所述衬底上形成氧化层,具体参考图10。
第二实施例中,形成氧化层之后,去除所述第一牺牲层201、所述第二牺牲层203、所述第三牺牲层205以及所述第四牺牲层207的步骤与第一实施例中相同。
参考图10,形成第一区鳍部230和所述第二区鳍部240后,在所述第一区210的衬底200上形成第一氧化层250,同时在所述第二区220的衬底200上形成第二氧化层260。
本实施例中,在所述衬底200上形成第一氧化层250和第二氧化层260;其他实施例中,所述衬底200上还可不形成第一氧化层250和第二氧化层260。
本实施例中,所述第一氧化层250的顶部表面与所述第一牺牲层201的底部表面齐平。
本实施例中,所述第二氧化层260的顶部表面与所述第三牺牲层205的底部表面齐平。
本实施例中,所述第一氧化层250与所述第二氧化层260存在高度差,目的是保护好所述第一氧化层250与所述第二氧化层260所述包围和覆盖的衬底,防止在后续的工艺中对衬底表面产生损伤,从而影响半导体器件的性能。
本实施例中,所述第一氧化层250与所述第二氧化层260的材料相同,都采用氧化硅材料;其他实施例中,还可为氮氧化硅或者氮化硅或者碳氧化硅或者碳氮化硅或碳氮氧化硅。
本实施例中,所述第一氧化层250与所述第二氧化层260用于后的刻蚀过程中,作为刻蚀停止层,保护所述第一氧化层250与所述第二氧化层260所包围和覆盖的衬底表面的完整性,保证形成半导体器件的质量。
参考图11,形成所述第一氧化层250与所述第二氧化层260之后,再去除所述第一牺牲层201、所述第二牺牲层203、所述第三牺牲层205以及所述第四牺牲层207形成第一沟槽231、第二沟槽232、第三沟槽241以及第四沟槽242。
利用上述方法形成的一种半导体器件,包括:衬底200,所述衬底200包括第一区210和第二区220;第一氧化层250,位于所述第一区210的衬底200上;第一衬层202,位于所述第一氧化层250上;第二衬层204,位于所述第一衬层202上;第一沟槽231,位于所述第一区的所述衬底200与所述第一衬层202之间;第二沟槽232,位于所述第一衬层202与所述第二衬层204之间;第二氧化层260,位于所述第二区220的衬底200上;第三衬层206,位于所述第二氧化层260上;第四衬层208,位于所述第三衬层206上;第三沟槽241,位于所述第二区的所述衬底200与所述第三衬层206之间;第四沟槽242,位于所述第三衬层206与所述第四衬层208之间。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (14)

1.一种半导体器件的形成方法,其特征在于,包括:
提供衬底,所述衬底包括第一区和第二区,所述衬底上形成有第一牺牲层、位于所述第一牺牲层上的第一衬层、位于所述第一衬层上的第二牺牲层和位于所述第二牺牲层上的第二衬层;
依次去除所述第二区的所述第二衬层、所述第二牺牲层、所述第一衬层、所述第一牺牲层以及部分厚度的所述衬底;
在所述第二区的衬底上形成第三牺牲层、位于所述第三牺牲层上的第三衬层、位于所述第三衬层上的第四牺牲层和位于所述第四牺牲层上的第四衬层;
所述第三牺牲层的厚度大于所述第一牺牲层的厚度;
所述第四牺牲层的厚度大于所述第二牺牲层的厚度;
刻蚀所述第一区的所述第二衬层、所述第二牺牲层、所述第一衬层、所述第一牺牲层以及部分厚度的衬底,形成第一区鳍部;
同时刻蚀所述第二区的所述第四衬层、所述第四牺牲层、所述第三衬层、所述第三牺牲层以及部分厚度的衬底,形成第二区鳍部;
去除所述第一区鳍部上的所述第一牺牲层,在所述第一区鳍部上形成第一沟槽;
同时去除所述第一区鳍部上的所述第二牺牲层,在所述第一区鳍部上形成第二沟槽;
同时去除所述第二区鳍部上的所述第三牺牲层,在所述第二区鳍部上形成第三沟槽;
同时去除所述第二区鳍部上的所述第四牺牲层,在所述第二区鳍部上形成第四沟槽。
2.如权利要求1所述半导体器件的形成方法,其特征在于,所述第一区为NMOS区,所述第二区为PMOS区;或者所述第一区为PMOS区,所述第二区为NMOS区。
3.如权利要求1所述半导体器件的形成方法,其特征在于,采用外延生长方式在所述衬底上形成有第一牺牲层、所述第一衬层、所述第二牺牲层和所述第二衬层。
4.如权利要求1所述半导体器件的形成方法,其特征在于,采用外延生长方式在所述第二区的衬底上形成所述第三牺牲层、所述第三衬层、所述第四牺牲层和所述第四衬层。
5.如权利要求1所述半导体器件的形成方法,其特征在于,所述第一衬层的材料包括硅或锗或锗硅或砷化镓中的一种或者多种。
6.如权利要求1所述半导体器件的形成方法,其特征在于,所述第二衬层的材料包括硅或锗或锗硅或砷化镓中的一种或者多种。
7.如权利要求1所述半导体器件的形成方法,其特征在于,所述第三衬层的材料包括硅或锗或锗硅或砷化镓中的一种或者多种。
8.如权利要求1所述半导体器件的形成方法,其特征在于,所述第四衬层的材料包括硅或锗或锗硅或砷化镓中的一种或者多种。
9.如权利要求1所述半导体器件的形成方法,其特征在于,所述第一牺牲层的材料包括硅或锗硅或碳化硅或砷化镓或砷化铟镓中的一种或者多种。
10.如权利要求1所述半导体器件的形成方法,其特征在于,所述第二牺牲层的材料包括硅或锗硅或碳化硅或砷化镓或者砷化铟镓中的一种或者多种。
11.如权利要求1所述半导体器件的形成方法,其特征在于,所述第三牺牲层的材料包括硅或锗硅或碳化硅或砷化镓或砷化铟镓中的一种或者多种。
12.如权利要求1所述半导体器件的形成方法,其特征在于,所述第四牺牲层的材料包括硅或锗硅或碳化硅或砷化镓或砷化铟镓中的一种或者多种。
13.如权利要求1所述半导体器件的形成方法,其特征在于,在形成所述第一区鳍部和所述第二区鳍部之后,去除所述第一区鳍部上的所述第一牺牲层之前,还包括,在所述第一区的所述衬底上形成第一氧化层,同时在所述第二区的所述衬底上形成第二氧化层,所述第一氧化层的顶部与所述第一牺牲层的底部齐平;所述第二氧化层的顶部与所述第三牺牲层的底部齐平。
14.一种采用权利要求1至13任一项方法所形成的半导体器件,其特征在于,包括:
衬底,所述衬底包括第一区和第二区;
第一衬层,位于所述第一区的衬底上;
第二衬层,位于所述第一衬层上;
第一沟槽,位于所述第一区的衬底与所述第一衬层之间;
第二沟槽,位于所述第一衬层与所述第二衬层之间;
第三衬层,位于所述第二区的衬底上;
第四衬层,位于所述第三衬层上;
第三沟槽,位于所述第二区的衬底与所述第三衬层之间;
第四沟槽,位于所述第三衬层与所述第四衬层之间。
CN201910314464.2A 2019-04-18 2019-04-18 半导体器件及其形成方法 Pending CN111834300A (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201910314464.2A CN111834300A (zh) 2019-04-18 2019-04-18 半导体器件及其形成方法
US16/851,645 US20200335402A1 (en) 2019-04-18 2020-04-17 Semiconductor device and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910314464.2A CN111834300A (zh) 2019-04-18 2019-04-18 半导体器件及其形成方法

Publications (1)

Publication Number Publication Date
CN111834300A true CN111834300A (zh) 2020-10-27

Family

ID=72830861

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910314464.2A Pending CN111834300A (zh) 2019-04-18 2019-04-18 半导体器件及其形成方法

Country Status (2)

Country Link
US (1) US20200335402A1 (zh)
CN (1) CN111834300A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11348944B2 (en) * 2020-04-17 2022-05-31 Taiwan Semiconductor Manufacturing Company Limited Semiconductor wafer with devices having different top layer thicknesses
US11398403B2 (en) * 2020-05-28 2022-07-26 Taiwan Semiconductor Manufacturing Company Limited Multiple thickness semiconductor-on-insulator field effect transistors and methods of forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170104060A1 (en) * 2015-10-12 2017-04-13 International Business Machines Corporation Methods for removal of selected nanowires in stacked gate all around architecture
CN106711043A (zh) * 2015-11-16 2017-05-24 台湾积体电路制造股份有限公司 制造堆叠纳米线晶体管的方法
US20180122899A1 (en) * 2016-11-03 2018-05-03 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
US20180197784A1 (en) * 2017-01-12 2018-07-12 International Business Machines Corporation Nanosheet transistors having different gate dielectric thicknesses on the same chip
US10243054B1 (en) * 2018-04-03 2019-03-26 International Business Machines Corporation Integrating standard-gate and extended-gate nanosheet transistors on the same substrate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10249739B2 (en) * 2017-03-01 2019-04-02 International Business Machines Corporation Nanosheet MOSFET with partial release and source/drain epitaxy
US10381459B2 (en) * 2018-01-09 2019-08-13 Globalfoundries Inc. Transistors with H-shaped or U-shaped channels and method for forming the same
US10825933B2 (en) * 2018-06-11 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10700064B1 (en) * 2019-02-15 2020-06-30 International Business Machines Corporation Multi-threshold voltage gate-all-around field-effect transistor devices with common gates

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170104060A1 (en) * 2015-10-12 2017-04-13 International Business Machines Corporation Methods for removal of selected nanowires in stacked gate all around architecture
CN106711043A (zh) * 2015-11-16 2017-05-24 台湾积体电路制造股份有限公司 制造堆叠纳米线晶体管的方法
US20180122899A1 (en) * 2016-11-03 2018-05-03 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
US20180197784A1 (en) * 2017-01-12 2018-07-12 International Business Machines Corporation Nanosheet transistors having different gate dielectric thicknesses on the same chip
US10243054B1 (en) * 2018-04-03 2019-03-26 International Business Machines Corporation Integrating standard-gate and extended-gate nanosheet transistors on the same substrate

Also Published As

Publication number Publication date
US20200335402A1 (en) 2020-10-22

Similar Documents

Publication Publication Date Title
US10468482B2 (en) Semiconductor device and manufacturing method thereof
US9847419B2 (en) Semiconductor device and fabrication method for forming the same
CN105448917B (zh) 半导体结构及其形成方法
US10784106B2 (en) Selective film growth for bottom-up gap filling
CN106373924A (zh) 半导体结构的形成方法
US8829606B1 (en) Ditches near semiconductor fins and methods for forming the same
CN106486375A (zh) 半导体结构的形成方法
CN106486350A (zh) 半导体结构的形成方法
CN110364483B (zh) 半导体结构及其形成方法
CN111834300A (zh) 半导体器件及其形成方法
CN111900088A (zh) 半导体器件及其形成方法
CN103871951B (zh) 沟槽填充方法
CN106571298B (zh) 半导体结构的形成方法
US11355622B2 (en) Semiconductor device and formation method i'hereof
US20220301922A1 (en) Etch profile control of isolation trench
CN113675143B (zh) 埋入式字线结构制备方法
US20200388699A1 (en) Semiconductor device and fabrication method thereof
CN112086356A (zh) 半导体器件及其形成方法
CN110349913B (zh) 半导体结构及其形成方法
CN107302028B (zh) 半导体结构及其形成方法
CN111863730B (zh) 半导体器件及其形成方法
US20210407846A1 (en) Semiconductor device
US20220328659A1 (en) Semiconductor device and manufacturing method thereof
CN108807175B (zh) 半导体结构及其形成方法
CN111816563A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination