CN111326415A - 在半导体晶片中形成高深宽比特征的方法 - Google Patents

在半导体晶片中形成高深宽比特征的方法 Download PDF

Info

Publication number
CN111326415A
CN111326415A CN201911275551.8A CN201911275551A CN111326415A CN 111326415 A CN111326415 A CN 111326415A CN 201911275551 A CN201911275551 A CN 201911275551A CN 111326415 A CN111326415 A CN 111326415A
Authority
CN
China
Prior art keywords
etching
nitride layer
oxide layer
wafer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201911275551.8A
Other languages
English (en)
Inventor
姜东勋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Xia Tai Xin Semiconductor Qing Dao Ltd
Original Assignee
Xia Tai Xin Semiconductor Qing Dao Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Xia Tai Xin Semiconductor Qing Dao Ltd filed Critical Xia Tai Xin Semiconductor Qing Dao Ltd
Publication of CN111326415A publication Critical patent/CN111326415A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench

Abstract

本发明提供了一种在半导体晶片中形成高深宽比(High Aspect Ratio,简称HAR)特征的方法。该方法包括以下步骤:晶片被放置在蚀刻设备的处理室中;将晶片的第一氮化物层朝向晶片的第一氧化物层蚀刻预定的持续时间;将晶片的第一氧化物层朝向晶片的第二氮化物层蚀刻,同时利用蚀刻设备的终点检测器监测处理室中的参数;在蚀刻设备的控制单元判断由终点检测器检测到的处理室中的参数是否超过预定值;如果终点检测器检测到的参数超过预定值,则标记晶片的第一氧化物层的蚀刻终点。

Description

在半导体晶片中形成高深宽比特征的方法
相关申请的交叉引用
本申请要求于2018年12月14日提交的美国临时专利申请号62/779473的权益,其内容通过引用合并于此。
技术领域
本公开总体上涉及一种在半导体晶片中形成高深宽比(High Aspect Ratio,简称HAR)特征(诸如沟槽或孔)的方法。更具体地,本公开涉及一种通过使用终点检测在用于动态随机存取存储器(Dynamic Random-Access Memory,简称DRAM)器件的半导体晶片中形成电容器的方法。
背景技术
常规地,在半导体器件制造过程中,基于等离子体的蚀刻过程已经用于在处理室中蚀刻晶片(例如,半导体晶圆)。为了制造动态随机存取存储器(DRAM)器件,使用等离子体蚀刻工艺来形成DRAM设备的电容器。用于形成DRAM电容器的晶片具有掩模层,介电层和体硅层。介电层包括多个氧化物层(即,氧化硅层)和氮化物层(即,氮化硅层)。蚀刻晶片的介电层以形成高深宽比(HAR)孔。选择不同的蚀刻气体以及其他参数蚀刻氧化物层和氮化物层。因此,重要的是确定目标层是否已经到达蚀刻终点,以便可以针对下一层的蚀刻工艺来调节蚀刻气体和其他参数。通常,时间控制等离子体蚀刻工艺用于形成DRAM电容器。例如,基于层的厚度和蚀刻速率在预定的持续时间蚀刻目标层,然后改变蚀刻气体和其他参数以用于下一层的蚀刻工艺。然而,由于DRAM电容器的高深宽比特性,难以确定该层是否已经达到蚀刻终点;因此,在形成DRAM电容器的时间控制等离子体蚀刻工艺中,经常发生欠蚀刻和过蚀刻。
图1和图2是半导体晶片的欠蚀刻和过蚀刻的示意图。晶片100包括掩模层130、基层110和设置在掩模层130与基层110之间的氧化物层120。掩模层130具有用于在氧化物层120中蚀刻预期孔的开口。如图1所示,当发生欠蚀刻时,氧化物层120未被充分蚀刻,氧化物层120中的预期孔没有被开口。如图2所示。当发生过蚀刻时,氧化物层120下方的基层110被不当蚀刻,并且氧化层120中的孔具有导致孔的结构损坏的弯曲轮廓。蚀刻不足和蚀刻过度都会影响DRAM器件的良率和质量。因此,控制蚀刻过程的时间长度至关重要。
因此,仍然需要提供一种形成高深宽比的孔的方法,以提高制造DRAM电容器的质量和良率。
发明内容
鉴于上述内容,本发明的目的是提供一种在半导体晶片中形成高深宽比(HAR)特征的方法。
为了实现上述目的,本公开的一实施例提供一种在半导体晶片中形成高深宽比(HAR)特征的方法。该方法包括步骤S401至S405。在步骤S401中,将晶片放置在蚀刻设备的处理室中。在步骤S402中,将晶片的第一氮化物层朝向晶片的第一氧化物层蚀刻预定的持续时间。在步骤S403中,将晶片的第一氧化物层朝向晶片的第二氮化物层蚀刻,同时利用蚀刻设备的终点检测器监测处理室中的参数。在步骤S404中,蚀刻设备的控制单元判断由终点检测器检测到的处理室中的参数是否超过预定值。在步骤S405中,如果终点检测器检测到的参数超过预定值,则为晶片的第一氧化物层标记蚀刻终点。
优选地,处理室中的参数是第二发射光与第一发射光的强度比。从第一氧化物层的蚀刻产物发射第一发射光,从第二氮化物层的蚀刻产物发射第二发射光。蚀刻装置的终点检测器是发光光谱检测器。
如上所述,本发明的一实施例的方法是使用终点检测器来监测从晶片中不同层的蚀刻产物发出的光的强度比。如果该比率超过预定值,则可以确定层的蚀刻终点。本发明实施例的方法可以高精度地确定层的蚀刻终点。因此,本发明实施例的方法防止了在形成高宽深比特征的过程中欠蚀刻或过蚀刻的发生,从而提高了半导体器件的良率和产品质量。
附图说明
现在将参考附图仅通过示例的方式描述本专利技术的实现。
图1和图2是半导体晶片的欠腐蚀和过腐蚀的示意图。
图3是根据本发明的另一实施例的容器的示意图。
图4是要在图3的蚀刻设备中处理的半导体晶片的示意图。
图5是根据本公开的另一个实施例的在半导体晶片中形成高深宽比特征的方法的流程图;图6是图5的方法的配方;图7和图8是图5的方法各个步骤的半导体晶片的示意图。
具体实施方式
现在将在下文中参考附图更充分地描述本发明,在附图中示出了本发明的示例性实施例。然而,本发明可以以许多不同的形式实施,并且不应被解释为仅限于在此陈述的示例性实施例。相反,提供这些示例性实施例使得本发明将是透彻和完整的,并将向本领域技术人员充分传达本发明的范围。相同的附图标记始终表示相同的元件。
本文所使用的术语仅出于描述特定示例性实施例的目的,并且不旨在限制本发明。如本文所使用的单数形式“一”,“一个”和“该”也旨在包括复数形式,除非上下文另外明确指出。还应理解,术语“包括”和/或“具有”在这里使用时,指定所述特征、区域、整数、步骤、操作、元素和/或组件的存在,但不排除其中的一个或多个其他特征、区域、整数、步骤、操作、元素、组件和/或其组合的存在或添加。
应该理解,术语“和/或”包括一个或多个相关联的列出项目的任何和所有组合。还应理解,虽然术语第一、第二、第三等在此可用于描述各种元件、组件、区域、部件和/或节,但这些元素、组件、区域、部件和/或节不应受这些术语的限制。这些术语仅用于将一个元素、组件、区域、部分或节与另一个元素、组件、区域、层或部分区分开来。因此,下面讨论的第一元素、组件、区域、部分或节可以被称为第二元素、组件、区域、层或节,而不背离本公开的教导。
除非另有定义,否则此处使用的所有术语(包括技术和科学术语)具有与本公开所属领域的普通技术人员通常理解的含义相同的含义。还应该理解的是,诸如在常用词典中定义的那些术语应当被解释为具有与其在相关领域和本发明的上下文中一致的含义,并且将不会被解释为理想化的或过于正式的意义,除非在此明确如此定义。
将结合图3至图8对本发明的示例性实施例进行描述。将参考附图来详细描述本发明,其中所描绘的元素不必按比例显示,并且通过几个视图和相同或相似的术语,相同或相似的元素由相同或相似的附图标记表示。
在下文中将结合附图进一步描述本发明。
参照图3,示出了根据本发明的一实施例的蚀刻设备的示意图。如图3所示,蚀刻设备200包括用于容纳半导体晶片300的处理室210。阳极220和阴极230配置在处理室210中。处理室210连接到向处理室210提供气体(例如蚀刻气体)的气体供应系统。处理室210还可以连接到从处理室210排出气体的排气系统。阴极230通过具有用于测量自偏置电压的电压表260的匹配箱连接到高频电源250。冷却系统用于冷却阴极230。具有要被蚀刻的目标层的晶片300放置在阴极230上。蚀刻气体被引入处理室210中。然后,高频电源250将高频功率施加到阴极230以在阳极220和阴极230之间产生等离子体。在等离子体中,离子作为蚀刻气体的反应产物存在,离子被电场加速并与晶片300的目标层碰撞以产生化学反应,从而导致目标层的蚀刻。蚀刻设备200还包括终点检测器,其被配置为确定晶片300的目标层是否已经达到蚀刻终点。蚀刻装设备200还可以包括连接到终点检测器的控制单元280,以控制蚀刻设备200的操作。在该实施例中,如图3所示,终点检测器270是发射光谱检测器,其被配置为从处理腔室210的窗口211检测从等离子体中的发光物种发射的光的强度。在一些实施例中,终点检测器可以是检测在晶片300的目标层中蚀刻的孔或沟槽深度的激光干涉仪。在一些实施例中,终点检测器可以是检测处理室210中的等离子体阻抗检测器。一旦达到目标层的蚀刻终点,则停止目标层的蚀刻处理,蚀刻设备200准备另一半导体晶片或晶片300的目标层下的下一层的蚀刻处理。
参照图4,示出了由图3的蚀刻设备处理的晶片300的示意图。晶片300将被构造为形成动态随机存取存储器(DRAM)器件的电容器。如图4所示,晶片300包括介电层320和设置在介电层320上的图案化掩模330。晶片300还包括设置在介电层320下方的基层310。图案化掩模330有开口330a。介电层320将沿着图案化掩模330的开口330a用高宽高比(HAR)孔或沟槽被蚀刻。图案化掩模330包括氧化物硬掩模层331和多晶硅硬掩模层330。基层310可以由硅制成,例如用单晶硅或背景p型掺杂剂轻掺杂的单晶硅。介电层230包括多个氧化层和氮化物层,包括第一氮化物层321、第一氧化物层322、第二氮化物层323、第二氧化物层324和第三氮化物层325,其从图案化掩模330起按递减顺序排列。氮化物层321、323、325中的每一个可以由氮化硅制成,并且可以具有例如约100到约3000的厚度。氧化层322、324中的每一个可以由二氧化硅(SiO2)或掺杂氧化硅(具有示例性的掺杂氧化硅为例如硼磷硅酸盐玻璃(BPSG)和磷硅酸盐玻璃(PSG)制成)。
参考图5至图8,图5是根据本公开的另一个实施例的在半导体晶片中形成高深宽比特征的方法的流程图。图6是图5的方法的配方;图7和图8是图5的方法各个步骤的半导体晶片的示意图。方法S400包括步骤S401至S410。半导体晶片可以参照图4的半导体晶片300。晶片300被构造为形成DRAM器件的电容器。晶片300包括介电层320和设置在介电层320上的图案化掩模330。晶片300还包括设置在介电层320下方的基层310。图案化掩模330有开口330a。介电层320将沿着图案化掩模330的开口330a用高宽高比(HAR)孔或沟槽被蚀刻。图案化掩模330包括氧化物硬掩模层331和多晶硅硬掩模层330。基层310可以由硅制成,例如用单晶硅或背景p型掺杂剂轻掺杂的单晶硅。介电层230包括多个氧化层和氮化物层,包括第一氮化物层321、第一氧化物层322、第二氮化物层323、第二氧化物层324和第三氮化物层325,其从图案化掩模330起按递减顺序排列。氮化物层321、323、325中的每一个可以由氮化硅制成,并且可以具有例如约100到约3000的厚度。每一氧化层322、324可由二氧化硅(SiO2)或掺杂的氧化硅构成。具体地说,方法S400可以是在晶片300中形成用于DRAM器件的电容器的方法。
在步骤S401中,将晶片300置于蚀刻设备的处理室中。蚀刻设备可以参照图3的蚀刻设备200。蚀刻设备200包括用于容纳晶片300的处理室210。阳极220和阴极230配置在处理室210中。处理室210连接向处理室210提供气体(例如蚀刻气体)的气体供应系统。处理室210还可以连接从处理室210排出气体的排气系统。阴极230通过具有用于测量自偏置电压的电压表260的匹配箱连接到高频电源250。冷却系统用于冷却阴极230。晶片300放置在蚀刻设备200的阴极230上。
在步骤S402中,将晶片的第一氮化物层向晶片的第一氧化物层蚀刻预定的持续时间。如图6所示,第一氮化物层在八氟环丁烷(C4F8)和氟仿(CHF3)的混合气体下,到处理室210中蚀刻。在将C4F8和CHF3的混合气体引入处理室210中之后,高频电源250将高频功率施加到阴极230,在阳极220和阴极230之间产生等离子体。在等离子体中,离子作为蚀刻气体C4F8和CHF3的反应产物存在,离子被电场加速并与晶片300的第一氮化物层321碰撞产生化学反应,从而导致第一氮化物层321的蚀刻。通过时间控制的方式刻蚀第一氮化物层321(即,刻蚀第一预定持续时间)。用于蚀刻第一氮化物层321的第一预定持续时间是基于第一氮化物层321的厚度和第一氮化物层321被C4F8和CHF3蚀刻的速率确定的。C4F8以5sccm到30sccm范围内的流速提供。CHF3以5sccm到60sccm范围内的流速提供。当蚀刻第一氮化物层321时,蚀刻装置200在500W至1100W范围内的源功率和2000W至4000W范围内的偏置功率下工作。处理室210的压力在10mTorr至20mTorr的范围内。用于蚀刻第一氮化物层321的第一预定持续时间在50秒至250秒的范围内。可以选择六氟-1,3-丁二烯(C4F6)、氧气(O2)和二氟甲烷(CH2F2)中的至少一种气体进一步蚀刻第一氮化物层321。C4F6以20sccm到80sccm范围内的流速提供。O2以20sccm到80sccm范围内的流速提供。CH2F2可以以20sccm到80sccm范围内的流速提供。图7的步骤S402中示出了步骤S402之后的晶片300的示意图。
在步骤S403中,将第一氧化物层322向第二氮化物层323蚀刻,同时由蚀刻设备200的终点检测器监测处理室231中的参数。在该实施例中,如图3所示,终点检测器270是发射光谱检测器,并且处理室210中的参数是第二发射光对第一发射光的强度比。第一发射光从第一氧化物层322的蚀刻产物发射,并具有第一波长。具体地说,第一发射光是从等离子体中的含氧物种发射的。等离子体中的含氧物种是第一氧化物层322的蚀刻产物。例如,含氧物种可能是氧原子。第一发射光从第二氮化物层323的蚀刻产物发射,并具有与第一波长不同的第二波长。具体地说,第二发射光是从等离子体中的含氮物种发射的。等离子体中的含氮物种是第二氮化物层323的蚀刻产物。例如,含氮物种可能是氮原子。
在一些实施例中,蚀刻设备200的终点检测器可以是激光干涉仪,并且由终点检测器监控的处理室中的参数是在第一氧化物层322中蚀刻的孔或沟槽的深度。
在一些实施例中,蚀刻设备200的终点检测器可以是等离子体阻抗检测器,并且由终点检测器监控的处理室210中的参数是在第一氧化物层210中蚀刻的孔或沟槽的深度。
参照图6,在八氟环丁烷(C4F8)和三氟化氮(NF3)的混合气体下蚀刻第一氧化物层322。C4F8以5sccm至30sccm的范围内的流速提供,NF3以8sccm以下(优选为2sccm至8sccm)的流量范围提供。当蚀刻第一氧化物层322时,蚀刻装置200在500W至1100W范围内的源功率和9000W至13000W范围内的偏置功率下工作。第一氧化物层322处理室210的压力为10mTorr至20mTorr的范围内蚀刻。可以选择六氟-1,3-丁二烯(C4F6)和氧气(O2)中的至少一种气体进一步蚀刻第一氧化物层322。C4F6以20sccm至50sccm的范围内的流速提供,O2以20sccm至50sccm的范围内的流速提供。
在步骤S404中,蚀刻设备200的控制单元280判定由终点检测器检测到的处理室210中的参数是否超过预定值。在步骤S405中,蚀刻设备200的控制单元280标记第一氧化物层322的蚀刻终点。在一实施例中,终点检测器270是发射光谱检测器,并且处理室210中的参数是第二发射光与第一发射光的强度比。第一发射光从第一氧化物层322的蚀刻产物发射,并具有第一波长。具体地说,第一发射光是从等离子体中的含氧物种发射的。等离子体中的含氧物种是第一氧化物层322的蚀刻产物。第二发射光从第二氮化物层323的蚀刻产物发射,并具有与第一波长不同的第二波长。具体地说,第二发射光是从等离子体中的含氮物种发射的。等离子体中的含氮物种是第二氮化物层323的蚀刻产物。第一发射光的强度与处理室内的第一氧化物层322的蚀刻产物的浓度成正相关。第二发射光的强度与处理室内的第二氮化物层323的蚀刻产物的浓度成正相关。当第一氧化物层322达到其蚀刻终点时,等离子体开始与第三氮化物层323反应并在等离子体中产生含氮物种。因此,第二发射光的强度在第一氧化物层322的蚀刻终点处开始增加,而第一发射光的强度可能开始降低。通过监测第二发射光与第一发射光的强度比,可以检测第一氧化物层322的蚀刻终点。此外,由于所需孔或沟槽的高深宽比特性,第二发射光的强度与第一发射光的强度相比非常弱。第二发射光的强度可能放大100,000倍到10,000,000倍的量级。图7的步骤S403至S405中示出了步骤S405之后的晶片300的示意图。
在一些实施例中,蚀刻设备200的终点检测器可以是激光干涉仪,并且由终点检测器监控的处理室中的参数是在第一氧化物层322中蚀刻的孔或沟槽的深度。当孔或沟槽的深度已经达到第一氧化物层322的底部时,标记第一氧化物层322的蚀刻终点。
在一些实施例中,蚀刻设备200的终点检测器可以是等离子体阻抗检测器,并且由终点检测器监控的处理室210中的参数是处理室210中的等离子体阻抗。当第一氧化物层322的蚀刻终点已经达到时,处理室210中的等离子体阻抗被第三氮化物层323的蚀刻产物(即含氮物种)改变。因此,可以检测第一氧化物层322的蚀刻终点。
在步骤S406中,将第一氧化物层322向第二氮化物层323经第二预定持续时间过蚀刻。将用于蚀刻第一氧化物层322的第二预定持续时间设置为实现30%至70%的过蚀刻百分比,优选为30%至50%。例如,如果到达第一氧化物层的蚀刻终点的处理时间是200秒,则在50%过蚀刻时对第一氧化物层进行过蚀刻的处理时间是100秒。如图6所示,步骤S406的其他处理条件类似于步骤S403的处理条件,此处不再赘述。图7的步骤S406中示出了步骤S406之后的晶片300的示意图。
在步骤S407中,第二氮化物层323被蚀刻第三预定持续时间。可以基于第二氮化物层323的厚度和第二氮化物层323的蚀刻速率来确定第三预定持续时间。第三预定持续时间在50秒到110秒的范围内。如图6所示,步骤S407的其他处理条件类似于步骤S402的处理条件,这里没有进一步说明。图8的步骤S407中示出了经步骤S407后的晶片300的示意图。
在步骤S408中,向第三氮化物层325蚀刻第二氧化物层324,同时由蚀刻设备200的终点检测器监测第二氧化物层324的蚀刻终点。在步骤S409中,在达到第二氧化物层324的蚀刻终点之后的第四预定持续时间内,朝向第三氮化物层325过蚀刻第二氧化物层324。如图6所示,步骤S408和S409的处理条件与步骤S406和S407的处理条件相同,此处不再赘述。图8的步骤S408至S409中示出了步骤S408和S409之后的晶片300的示意图。
在步骤S410中,第三氮化物层325被蚀刻第五预定持续时间。第五预定持续时间在10秒到50秒的范围内。如图6所示,步骤S410的其他处理条件与步骤S402的相似,此处不再赘述。图8的步骤S410中示出了经步骤S410之后的晶片300的示意图。在步骤S410之后,在晶片300中形成所需的高深高比孔或沟槽,并且晶片300可以通过后续工艺(例如导电层形成工艺、牺牲层去除工艺等)进行处理以形成DRAM器件的电容器。
如上所述,本发明的一实施例的方法是使用终点检测器来监测从晶片中不同层的蚀刻产物发出的光的强度比。如果该比率超过预定值,则可以确定层的蚀刻终点。本发明实施例的方法可以高精度地确定层的蚀刻终点。因此,本发明实施例的方法防止了在形成高宽深比特征的过程中欠蚀刻或过蚀刻的发生,从而提高了半导体器件的良率和产品质量。
以上所示和描述的实施例仅是示例。在本领域中经常可以找到许多细节,例如在半导体晶片中形成HAR特征的方法的其他特征。因此,许多这样的细节既没有展示也没有描述。尽管在上述说明中阐述了本技术的许多特征和优点,连同本发明的结构和功能的细节,但是本公开仅是说明性的,并且可以在细节上做出改变,特别是在本发明原则内的部件的形状、尺寸和零件布局的问题上,不超过并包括由权利要求中使用的术语的广义含义所确定的全部范围。因此,应当理解,可以在权利要求的范围内修改上述实施例。

Claims (10)

1.一种在半导体晶片中形成高深宽比(High Aspect Ratio,简称HAR)特征的方法,其特征在于,包括以下步骤:
将晶片放置在蚀刻设备的处理室中;
将晶片的第一氮化物层向晶片的第一氧化物层蚀刻第一预定持续时间;
将晶片的第一氧化物层朝向晶片的第二氮化物层蚀刻,同时利用蚀刻设备的终点检测器监测处理室中的参数;
判断终点检测器检测到的处理室中的参数是否超过预定值;
如果所述终点检测器检测到的参数超过所述预定值,则为第一氧化物层标记刻蚀终点。
2.根据权利要求1所述的在半导体晶片中形成高深宽比特征的方法,其特征在于,
所述终点检测器是激光干涉仪,所述参数是在所述第一氧化物层中蚀刻的孔或沟槽的深度。
3.根据权利要求1所述的在半导体晶片中形成高深宽比特征的方法,其特征在于,
所述终点检测器是发射光谱检测器,所述参数是第二发射光与第一发射光的强度比,所述第一发射光是从所述第一氧化物层的蚀刻产物发出的,并且所述第二发射光是从所述第二氮化物层的蚀刻产物发射发出的。
4.根据权利要求1所述的在半导体晶片中形成高深宽比特征的方法,其特征在于,
所述终点检测器是等离子体阻抗检测器,所述参数是所述处理室中的等离子体阻抗。
5.根据权利要求1所述的在半导体晶片中形成高深宽比特征的方法,其特征在于,
基于所述第一氮化物层的厚度和所述第一氮化物层的蚀刻速率来确定用于蚀刻所述第一氮化物层的第一预定持续时间。
6.根据权利要求1所述的在半导体晶片中形成高深宽比特征的方法,其特征在于,还包括步骤:
在达到第一氧化物层的蚀刻终点后,朝向第二氮化物层过蚀刻第一氧化物层,所述第一氧化物层在第二预定持续时间内被过蚀刻;在第一氧化物层被过蚀刻后对第二氮化物层进行第三预定持续时间的蚀刻,所述第三预定持续时间是基于所述第二氮化物层的厚度和所述第二氮化物层的蚀刻速率来确定的,用于蚀刻第二氮化物层的第三预定持续时间在50秒至110秒的范围内。
7.根据权利要求1所述的在半导体晶片中形成高深宽比特征的方法,其特征在于,
所述第一氮化物层是在八氟环丁烷(C4F8)和氟仿(CHF3)的混合气体下蚀刻的,当蚀刻所述第一氮化物层时,所述C4F8以5sccm至30sccm的范围内的流速提供,并且CHF3以5sccm至60sccm的范围内的流速提供,当蚀刻第一氮化物层时,所述蚀刻设备在500W至1100W范围内的源功率和2000W至4000W范围内的偏置功率下操作,第一氮化物层在10mTorr到20mTorr的范围内的处理室的压力下被蚀刻,用于蚀刻所述第一氮化物层的第一预定持续时间在50秒至250秒的范围内。
8.根据权利要求7所述的在半导体晶片中形成高深宽比特征的方法,其特征在于,
所述第一氮化物层在六氟-1,3-丁二烯(C4F6)、氧气(O2)和二氟甲烷(CH2F2)的至少一种气体下被进一步蚀刻。
9.根据权利要求1所述的在半导体晶片中形成高深宽比特征的方法,其特征在于,
所述第一氧化层是在八氟环丁烷(C4F8)和三氟化氮(NF3)的混合气体下蚀刻的,当蚀刻所述第一氧化物层时,C4F8以5sccm至30sccm的范围内的流速提供,NF3以2sccm至8sccm的范围内的流速提供,当蚀刻第一氧化物层时,所述蚀刻设备在500W至1100W范围内的源功率和9000W至13000W范围内的偏置功率下操作,第一氮化物层在10mTorr到20mTorr的范围内的处理室的压力下被蚀刻。
10.根据权利要求9所述的在半导体晶片中形成高深宽比特征的方法,其特征在于,
所述第一氧化物层在六氟-1,3-丁二烯(C4F6)和氧气(O2)的至少一种气体下被进一步蚀刻。
CN201911275551.8A 2018-12-14 2019-12-12 在半导体晶片中形成高深宽比特征的方法 Pending CN111326415A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862779473P 2018-12-14 2018-12-14
US62/779473 2018-12-14
US16/692,700 US20200203234A1 (en) 2018-12-14 2019-11-22 Method of forming high aspect ratio features in semiconductor substrate
US16/692700 2019-11-22

Publications (1)

Publication Number Publication Date
CN111326415A true CN111326415A (zh) 2020-06-23

Family

ID=71097276

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911275551.8A Pending CN111326415A (zh) 2018-12-14 2019-12-12 在半导体晶片中形成高深宽比特征的方法

Country Status (2)

Country Link
US (1) US20200203234A1 (zh)
CN (1) CN111326415A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220236051A1 (en) * 2021-01-25 2022-07-28 Changxin Memory Technologies, Inc. Method for detecting etching defects of etching equipment

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US20080186473A1 (en) * 2007-07-25 2008-08-07 Macronix International Co., Ltd. Method of detecting end point of plasma etching process
JP2015211139A (ja) * 2014-04-25 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置およびドライエッチング方法
US20160240446A1 (en) * 2015-02-17 2016-08-18 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and method of manufacturing semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US20080186473A1 (en) * 2007-07-25 2008-08-07 Macronix International Co., Ltd. Method of detecting end point of plasma etching process
JP2015211139A (ja) * 2014-04-25 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置およびドライエッチング方法
US20160240446A1 (en) * 2015-02-17 2016-08-18 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
US20200203234A1 (en) 2020-06-25

Similar Documents

Publication Publication Date Title
US6660127B2 (en) Apparatus for plasma etching at a constant etch rate
US6967170B2 (en) Methods of forming silicon nitride spacers, and methods of forming dielectric sidewall spacers
US8372756B2 (en) Selective etching of silicon dioxide compositions
US6919259B2 (en) Method for STI etching using endpoint detection
JP5235596B2 (ja) Siエッチング方法
KR100801253B1 (ko) 절연막 드라이 에칭방법
KR101958037B1 (ko) 높은 압력 베벨 에칭 프로세스
EP1892047A2 (en) Detecting the Endpoint of a Cleaning Process
CN111326415A (zh) 在半导体晶片中形成高深宽比特征的方法
JP2003347279A (ja) 半導体装置の製造方法
JP2016146384A (ja) 半導体製造装置および半導体製造方法
JP2001007084A (ja) エッチング終点判定方法
US6593232B1 (en) Plasma etch method with enhanced endpoint detection
KR20100108255A (ko) 도전성 라인 사이의 유전 물질 제거 방법
Miakonkikh et al. Anisotropic plasma etching of Silicon in gas chopping process by alternating steps of oxidation and etching
Fuller Plasma etching
JP2019050305A (ja) プラズマエッチング方法、及び、半導体装置の製造方法
Noor et al. Optimization of Reactive Ion Etching (RIE) Process Using SF6 and CHF3 Gases
JP3946467B2 (ja) ドライエッチング方法
US7410593B2 (en) Plasma etching methods using nitrogen memory species for sustaining glow discharge
JP2006086295A (ja) 半導体装置の製造方法
JP2022110857A (ja) プラズマエッチング方法、プラズマエッチング装置、および素子チップの製造方法
JP2005079422A (ja) 半導体装置の製造方法
Aquilino Drytech Quad Etch Recipes Dr. Lynn Fuller
Yao et al. Study of Over-Etching Behaviors on Silicon Nitride Patterned Wafers

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200623

WD01 Invention patent application deemed withdrawn after publication