CN111211088A - 半导体器件及其形成方法 - Google Patents

半导体器件及其形成方法 Download PDF

Info

Publication number
CN111211088A
CN111211088A CN201911053157.XA CN201911053157A CN111211088A CN 111211088 A CN111211088 A CN 111211088A CN 201911053157 A CN201911053157 A CN 201911053157A CN 111211088 A CN111211088 A CN 111211088A
Authority
CN
China
Prior art keywords
dielectric layer
temperature
semiconductor
annealing process
cycle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201911053157.XA
Other languages
English (en)
Other versions
CN111211088B (zh
Inventor
高琬贻
柯忠祁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/529,098 external-priority patent/US11211243B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111211088A publication Critical patent/CN111211088A/zh
Application granted granted Critical
Publication of CN111211088B publication Critical patent/CN111211088B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)
  • Recrystallisation Techniques (AREA)
  • Bipolar Transistors (AREA)

Abstract

本公开涉及半导体器件及其形成方法。一种方法,包括:蚀刻半导体衬底以形成沟槽;以及使用原子层沉积(ALD)循环来沉积电介质层。电介质层延伸到沟槽中。ALD循环包括:脉冲六氯乙硅烷(HCD)到半导体衬底;清除HCD;脉冲三乙胺到半导体衬底;以及清除三乙胺。然后对电介质层执行退火工艺。

Description

半导体器件及其形成方法
技术领域
本公开涉及半导体器件及其形成方法。
背景技术
随着集成电路不断缩小以及对集成电路速度的要求越来越高,晶体管需要在尺寸越来越小的情况下具有更高的驱动电流。由此开发出鳍式场效应晶体管(FinFET)。FinFET包括位于衬底上方的垂直半导体鳍。半导体鳍被用来形成源极区域和漏极区域,并且在源极区域和漏极区域之间形成沟道区域。形成浅沟槽隔离(STI)区域以限定半导体鳍。FinFET还包括栅极堆叠,其被形成在半导体鳍的侧壁和顶表面上。
在STI区域的形成和FinFET的形成中,首先形成STI区域,例如,使用可流动的氧化物,然后使用紫外线(UV)固化或含氧环境中的热氧化进行后处理。然后对相应晶圆进行退火。
发明内容
根据本公开的一个实施例,提供了一种形成半导体器件的方法,包括:蚀刻半导体衬底以形成沟槽;使用原子层沉积(ALD)循环来沉积电介质层,其中,所述电介质层延伸到所述沟槽中,并且其中,所述ALD循环包括:脉冲六氯乙硅烷(HCD)到所述半导体衬底;清除所述HCD;脉冲三乙胺到所述半导体衬底;以及清除所述三乙胺;以及对所述电介质层执行退火工艺。
根据本公开的另一实施例,提供了一种形成半导体器件的方法,包括:在半导体条带上沉积电介质层,其中,沉积所述电介质层包括循环,并且所述循环包括:将硅原子和氯原子附接到所述半导体条带上的氧原子;用氮原子和烷基取代所述氯原子;以及用氧原子取代所述氮原子和烷基的第一部分;用OH键来移除所述氮原子和烷基的第二部分;以及对所述电介质层进行退火以形成Si-O-Si键。
根据本公开的又一实施例,提供了一种形成半导体器件的方法,包括:形成第一半导体条带;沉积电介质层,所述电介质层包括氧化硅,碳掺杂在所述氧化硅中,其中所述电介质层包括:水平部分;以及垂直部分,所述垂直部分与所述水平部分的端部连接,其中,所述垂直部分与所述第一半导体条带的下部的侧壁接触,其中,所述第一半导体条带的顶部突出高于所述垂直部分的顶表面以形成半导体鳍;以及形成在所述半导体鳍的侧壁和顶表面上延伸的栅极堆叠。
附图说明
在结合附图阅读下面的具体实施方式时,可以从下面的具体实施方式中最佳地理解本公开的各个方面。应当注意,根据行业的标准做法,各种特征不是按比例绘制的。事实上,为了讨论的清楚起见,各种特征的尺寸可能被任意增大或减小。
图1、2A、2B、和3至9是根据一些实施例的在浅沟槽隔离(STI)区域和鳍式场效应晶体管(FinFET)的形成中的中间阶段的横截面视图。
图10示出了根据一些实施例的在SiNOCH膜的形成中的原子层沉积(ALD)循环。
图11A和11B分别示出了根据一些实施例的六氯乙硅烷和三乙胺的化学结构和符号。
图12示出了根据一些实施例的SiNOCH膜的示意性化学结构。
图13示意性地示出了根据一些实施例的分离SiNOCH膜的两个部分的接缝(seam)。
图14示出了根据一些实施例的对SiNOCH膜执行湿法退火工艺之后的示意性化学结构。
图15和16分别示意性地示出了根据一些实施例的在低温湿法退火工艺和高温湿法退火工艺之后的接缝处的键。
图17示出了根据一些实施例的在干法退火工艺之后的氧化硅的示意性化学结构。
图18示意性地示出了根据一些实施例的接缝处的交联(cross-link)。
图19示出了根据一些实施例的通过低温湿法退火工艺将Si-C-N键转换为Si-OH键的效果。
图20示出了根据一些实施例的在不同的低温被用于湿法退火工艺时随深度变化的碳浓度。
图21示出了根据一些实施例的湿法退火条件对沉积的电介质膜中的氮浓度、碳浓度和膨胀率的影响。
图22示出了根据一些实施例的用于形成STI区域和FinFET的工艺流程。
具体实施方式
下面的公开内容提供了用于实现本发明的不同特征的许多不同的实施例或示例。下文描述了组件和布置的具体示例以简化本公开。当然,这些仅仅是示例而不意图是限制性的。例如,在下面的说明中,在第二特征上方或之上形成第一特征可以包括以直接接触的方式形成第一特征和第二特征的实施例,并且还可以包括可以在第一特征和第二特征之间形成附加特征,使得第一特征和第二特征可以不直接接触的实施例。此外,本公开在各个示例中可能重复参考标号和/或字母。这种重复是为了简单性和清楚性的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
此外,本文中可能使用了空间相关术语(例如“下方”、“之下”、“低于”、“以上”、“上部”等),以易于描述图中所示的一个要素或特征相对于另外(一个或多个)要素或(一个或多个)特征的关系。这些空间相关术语意在涵盖器件在使用或工作中除了图中所示朝向之外的不同朝向。装置可能以其他方式定向(旋转了90度或处于其他朝向),并且本文中所用的空间相关描述符同样可能被相应地解释。
提供了浅沟槽隔离(STI)区域、鳍式场效应晶体管(FinFET)、及其形成方法。根据一些实施例示出了在STI区域和FinFET的形成中的中间阶段。讨论了一些实施例的一些变型。在各种视图和说明性实施例中,相同的附图标记用于表示相同的元件。根据本公开的一些实施例,STI区域是通过以下步骤形成的:形成SiNOCH膜,然后执行退火工艺以将SiNOCH膜中的Si-NC键转换为Si-OH键,并且然后转换为Si-O-Si键。通过这些方法,所得的STI区域不具有或基本上不具有空隙和接缝。
将针对特定上下文(即,通过形成共形STI层的STI形成工艺)来描述实施例。所讨论的实施例的概念还可以应用于其他结构的结构和处理,包括但不限于其中要填充氧化硅的任意其他间隙填充工艺。本文讨论的实施例将提供示例以使得能够制作或使用本公开的主题,并且本领域普通技术人员将容易地理解能够进行的修改,同时保持落入不同实施例的预期范围内。下面的附图中的相同的参考编号和字符表示相同的组件。虽然方法实施例可以被讨论为以特定顺序执行,但是其他方法实施例可以以任意逻辑顺序被执行。
图1、2A、2B、和3至9示出了根据本公开的一些实施例的在STI区域和FinFET的部分的形成中的中间阶段的横截面视图。图22中示出的工艺流程200中也示意性地反映了相应工艺。
在图1中,提供了衬底20。衬底20可以是半导体衬底,例如,体半导体、绝缘体上半导体(SOI)衬底等,其可以是掺杂的(例如,使用p型或n型掺杂剂)或未掺杂的。半导体衬底20可以是晶圆10(例如,硅晶圆)的一部分。通常,SOI衬底是在绝缘体层上形成的半导体材料层。绝缘体层可以是例如掩埋氧化物(BOX)层、氧化硅层等。绝缘体层设置在衬底上,衬底通常是硅或玻璃衬底。也可以使用其他衬底,例如,多层或梯度衬底。在一些实施例中,半导体衬底20的半导体材料可包括硅;锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其组合。
进一步参考图1,在衬底20中形成阱区域22。相应工艺被示出为图22中示出的工艺流程200中的工艺202。根据本公开的一些实施例,阱区域22是通过将p型杂质(其可以是硼、铟等)注入到衬底20中而形成的p型阱区域。根据本公开的其他实施例,阱区域22是通过将n型杂质(其可以是磷、砷、锑等)注入到衬底20中而形成n型阱区域。所得到的阱区域22可以延伸到衬底20的顶表面。n型或p型杂质浓度可以等于或小于1018cm-3,例如,在约1017cm-3和约1018cm-3之间的范围内。
参考图2,在半导体衬底20上形成衬垫氧化物层28和硬掩模层30。衬垫氧化物层28可以是由氧化硅形成的薄膜。根据本公开的一些实施例,在热氧化工艺中形成衬垫氧化物层28,其中,半导体衬底20的顶表面层被氧化。衬垫氧化物层28用作半导体衬底20和硬掩模层30之间的粘附层。衬垫氧化物层28还可以用作蚀刻硬掩模层30的蚀刻停止层。根据本公开的一些实施例,例如使用低压化学气相沉积(LPCVD)由氮化硅形成硬掩模层30。根据本公开的其他实施例,通过硅的热氮化或等离子体增强化学气相沉积(PECVD)来形成硬掩模层30。在硬掩模层30上方形成图案化的光致抗蚀剂(未示出)。然后使用图案化的光致抗蚀剂作为蚀刻掩模来对硬掩模层30和衬垫氧化物层28进行图案化,以形成如图2A所示的图案化的硬掩模30。
接下来,图案化的硬掩模层30被用作蚀刻掩模以蚀刻衬垫氧化物层28和衬底20,从而在衬底20中产生沟槽32,同样如图2A所示。相应工艺被示出为图22中示出的工艺流程200中的工艺204。根据本公开的一些实施例,沟槽32被形成为沟槽条带,其长度方向彼此平行。在下文中,半导体衬底20的位于沟槽32之间的部分被称为半导体条带26。
图2B示出了图2A中的参考横截面2B-2B的横截面视图。为了简化讨论,示出了两个半导体条带26,它们之间的沟槽被称为窄沟槽32A,但可能存在一组紧密定位的半导体条带26,并且具有将它们彼此分隔开的窄沟槽32A。根据一些实施例,窄沟槽32A具有小的宽度W1,其可以小于约
Figure BDA0002255838760000051
或在约
Figure BDA0002255838760000052
至约
Figure BDA0002255838760000053
之间的范围内。还可能存在宽沟槽,例如,位于一组紧密定位的半导体条带26的相对外侧上。宽沟槽32B的宽度W2大于宽度W1,例如,比率W2/W1大于约2.0。宽度W2也可以大于约
Figure BDA0002255838760000054
沟槽32A和32B被统称为沟槽32。根据本公开的一些实施例,窄沟槽32A的深度D1小于宽沟槽32B的深度D2。
图3和图4示出了在电介质层34的生长/沉积中的中间阶段。相应工艺被示出为图22中示出的工艺流程200中的工艺206。在沉积工艺开始时,晶圆10被放置在原子层沉积(ALD)腔室(未示出)中,其中执行ALD循环以共形地生长电介质层34。图3示出了共形的电介质层34的初始生长,并且电介质层34的水平部分的厚度T1等于电介质层34的垂直部分的厚度T2。
图10示意性地示出了电介质层34在其生长期间的中间化学结构。使用参考标记112、114、116和118来标识图10中示出的中间结构,以区分由不同阶段产生的结构。晶圆10包括基层110,该基层110可以表示暴露的特征,包括衬底20、半导体条带26、衬垫层28和硬掩模30(如图3所示),假设它们在沉积工艺开始时被暴露。图10中的初始结构被称为结构112。在示出的示例中,基层110被示出为包括硅,其可以是晶体硅、非晶硅、多晶硅、或化合物中的硅的形式。根据本公开的一些实施例,由于天然氧化物的形成并且暴露于水分,在含硅层110的表面处形成Si-OH键。基层110可以包括其他类型的含硅材料,例如,氧化硅、氮化硅、碳氧化硅、氮氧化硅等。电介质层34也可以被沉积在其他非含硅层上,例如,衬垫层28和硬掩模30,如图3所示。
再次参考图10,在工艺130中,六氯乙硅烷(HCD)被引入/脉冲到ALD腔室中,其中放置有晶圆10(图3)。相应工艺被示出为图22中示出的工艺流程200中的工艺208。HCD具有化学式(SiCl3)2。图11A示出了根据一些实施例的HCD分子的化学式。化学式示出了HCD分子包括与两个硅原子键合的氯原子。当HCD被脉冲到ALD腔室时,晶圆10被加热,例如,被加热到约550℃至约670℃之间的范围内的温度。结构112中示出的OH键被断裂,并且硅原子以及与硅原子键合的氯原子被键合到氧原子上以形成O-Si-Cl键。所得到的结构被称为结构114。根据本公开的一些实施例,在HCD被引入时,不接通等离子体。HCD气体可以在ALD腔室中保持约20秒至约25秒的时间段。根据一些实施例,ALD腔室的压力可以在约100Pa至约150Pa之间的范围内。
接下来,从ALD腔室清除HCD。相应工艺被示出为图22中示出的工艺流程200中的工艺208。在工艺132中,包括与烷基键合的氮原子的工艺气体可以被脉冲到ALD腔室中。例如,三乙胺可以被脉冲。相应工艺被示出为图22中示出的工艺流程200中的工艺210。三乙胺可以具有化学式N(CH2CH3)3,其包括与三个乙基(CH2CH3)键合的氮原子。图11B示出了根据一些实施例的三乙胺的化学式。化学式示出了三乙胺包括与三个乙基键合的氮原子,每个“<”符号与N原子连接,表示乙基(CH2CH3、或与CH3分子键合的CH2分子)。随着三乙胺的引入/脉冲,晶圆10的温度也保持升高,例如,在约550℃至约670℃之间的范围内。温度也可以与引入HCD的工艺相同。根据本公开的一些实施例,在三乙胺被引入时,不接通等离子体。在三乙胺的脉冲期间,ALD腔室可以具有在约800Pa与约1000Pa之间的范围内的压力。
结构114与三乙胺反应。所得到的结构被称为结构116。结构114中的Si-Cl键断裂,使得(例如,三乙胺中的)氮原子可以与硅原子键合。硅原子可以与三个氮原子键合,其中每个氮原子进一步与两个乙基键合。在工艺132中,三乙胺可以在ALD腔室中保持约5秒至约15秒的时间段,并且然后从ALD腔室中被清除。
接下来,如图10中的工艺134所示,氧(O2)被脉冲到ALD腔室中。相应工艺被示出为图22中示出的工艺流程200中的工艺212。在工艺212期间,结构116与氧气反应以生成结构118。烷基(例如,结构116中的乙基)有助于将Si-N键转换为Si-O键,例如,结构116中的Si-N键断裂,并且硅原子与氧原子键合,作为工艺134的结果。一些氮原子以及与它们键合的乙基也可以保持与硅原子键合。一些氧原子可以与两个硅原子键合以在一些硅原子之间生成交联。根据本公开的一些实施例,在氧被引入时,不接通等离子体。在氧的脉冲期间,ALD腔室可以具有在约800Pa至约1000Pa之间的范围内的压力。氧可以在ALD腔室中保持约5秒至约15秒的时间段,并且然后从ALD腔室中被清除。
在上面讨论的工艺中,工艺130和132的组合可以被称为ALD循环136,其中ALD循环136产生原子层的生长,该原子层包括硅原子、和相应的键合的氮原子和乙基。同样,工艺130、132和134的组合也可以被称为ALD循环138,其中ALD循环138产生原子层的生长,该原子层包括硅原子、和相应的键合的氮原子和乙基、以及键合的氧原子。根据一些实施例,由ALD循环138产生的原子层具有约
Figure BDA0002255838760000081
的厚度。
在工艺134完成之后,重复包括工艺130、132和134的ALD循环138,使得沉积多个原子层以形成电介质层34,如图4所示。在后续ALD循环中,在先前ALD循环中形成的Si-O键和Si-N键可能断裂,并且由于HCD的脉冲可能形成Si-Cl键。然后可以用Si-N键和相应的乙基来取代Si-Cl键。然后可以使用O2来形成Si-O键,其取代一些Si-N键。图12示出了附加原子层作为示例。应当理解,取决于电介质层34的期望厚度,可以存在许多原子层。沉积的电介质层34是SiNOCH层。
重复ALD循环138,直到所得的电介质层34具有期望的厚度。例如,如图4所示,电介质层34的从相邻的半导体条带26生长的部分朝向彼此生长,并且最终彼此接触以生成界面36。可以理解,可能生成接缝,其也被称为36。在界面36处还可能生成一些空隙38,这些空隙可能是由于半导体条带26的侧壁上的小凹槽造成的。可以理解,虽然电介质层34的从相邻的半导体条带26生长的部分彼此接触,但是它们仅仅彼此接触,在它们之间没有形成交联。例如,图13示意性地示出了在电介质层34的左侧部分与电介质层34的右侧部分之间形成的接缝/界面36,其中在左侧部分和右侧部分的边界原子之间没有形成交联。
根据本公开的一些实施例,在ALD循环138之后,所得到的电介质层34具有在约1%与约15%之间的范围内的碳百分比,以及在约5%与约20%之间的范围内的氮百分比。电介质层34中的大部分其余元素是硅和氧,其可以具有为约1.5:2至约1:2.5的硅与氧的原子比例。该比例可以是例如约1:2左右。
在电介质层34的沉积(生长)之后,执行退火工艺。相应工艺被示出为图22中示出的工艺流程200中的工艺214。根据本公开的一些实施例,退火工艺包括低温湿法退火工艺(工艺216)、高温湿法退火工艺(工艺218)、和干法退火工艺(工艺220)。可以使用蒸汽(H2O)作为工艺气体来执行低温工艺和高温湿法退火工艺。可以使用氮(N2)、氩等作为载气来执行干法退火工艺。下面参考图14至20讨论退火工艺。
根据本公开的一些实施例,首先执行低温湿法退火工艺。相应工艺被示出为图22中示出的工艺流程200中的工艺216。低温湿法退火工艺是在约300℃至约450℃的相对较低温度下执行的。低温湿法退火工艺可以持续约3小时至约5小时的一段时间。低温退火期间的压力可以是约1个大气压。低温湿法退火工艺具有两个功能。第一个功能是使水/蒸汽(H2O)分子渗透到电介质层34中,如图15中示意性示出的,其中实心点表示H2O分子。第二个功能是将电介质层34中的Si-N-C键、Si-CH3键和Si-N-Si键部分转换为Si-OH键。控制温度足够高以引起至少部分转换。
图21示出了一些实验结果,其中X轴表示退火条件,包括退火温度和退火时间。每个X轴值的字母“C”表示以摄氏度为单位的退火温度,字母“M”表示以分钟为单位的退火时间,而字母“H”表示以小时为单位的退火时间。例如,“W200C30M”表示当晶圆在200℃下退火30分钟时获得的相应值。存在三个Y轴,表示退火的电介质层的氮([N])原子百分比、碳([C])原子百分比、和膨胀率。图21中的结果指示在退火工艺之前(对应于X轴值“NA”),碳百分比和氮百分比是高的。随着退火工艺的持续和/或采用更高的温度,碳百分比和氮百分比降低到某一水平,例如,小于1%。这意味着原始的碳原子和氮原子(如图12所示)开始转换为OH,如图14所示。同样,如图21所示,在温度高于450℃时,电介质膜的膨胀率可以增加。因为电介质层34的表面部分与内部部分相比更早地膨胀,所以电介质层的表面部分的膨胀可能不利地阻止H2O分子渗透到并且到达电介质层的内部。因此,为了避免电介质层34的表面部分过早地膨胀,低温湿法退火工艺是在电介质层34不膨胀的温度(例如,低于约450℃)下执行的。另一方面,为了提高转换效率和蒸汽渗透效率,低温湿法退火工艺是在不过低的温度下执行的,并且温度可以在约300℃至约450℃的范围内。
图19和图20示出了从样本测量的结果,并揭示了在300℃和450℃下的低温湿法退火工艺具有类似的结果。图19示出了随着进入电介质层34的深度而变化的(电介质层34的)蚀刻速率。蚀刻速率是对电介质层34的组成的指示,例如,有多少C和N原子被OH基团取代。值310和312是在300℃下退火4小时的结果。值314和316是在450℃下退火4小时的结果。样本也在相同的较高退火温度条件(600℃,2小时)下和相同的干法退火温度条件(600℃,1小时)下进行退火。图19揭示了虽然低温湿法退火工艺是在不同温度下执行的,但是它们的在样品的不同深度处的蚀刻速率相似,表明300℃和450℃的低温湿法退火温度不会产生H2O分子渗透的差异。
图20示出了随着电介质层34的深度而变化的碳浓度。同样,线318是在300℃下进行4小时的低温湿法退火的结果。线320是在450℃下进行4小时的低温湿法退火的结果。与线318和320相对应的样品也在相同的较高退火温度条件(600℃,2小时)和相同的干法退火温度条件(600℃,1小时)下进行退火。图20揭示了虽然低温湿法退火工艺是在不同温度下执行的,但是碳百分比(其是对在样品的不同深度处的转化率(从C-N到OH)的指示)是相似的。这些结果表明,采用300℃或450℃作为低温退火工艺的温度不会产生H2O分子渗透的差异。
在低温湿法退火工艺之后,执行高温湿法退火工艺。相应工艺被示出为图22中示出的工艺流程200中的工艺218。高温湿法退火工艺是在约450℃至约650℃的范围内的较高温度下执行的。高温湿法退火工艺可以持续约1.5小时至约2.5小时的范围内的一段时间。高温退火工艺的压力可以是约1个大气压。温度足够高以有效地将电介质层34中的Si-CN键转换为Si-OH键,如图16中示意性示出的。另一方面,温度不能过高而引起半导体材料的过度氧化。例如,在半导体条带26包括SiGe时,高温退火工艺的温度应当低于约650℃。否则,SiGe可能被氧化。硅也可能在高于约650℃的温度下被氧化,但速率较低。因此,高温湿法退火工艺的温度可以在约500℃至约650℃之间的范围内,或者在约500℃至约600℃之间的范围内。
高温湿法退火工艺使得Si-N键和Si-O键断裂。附接至N原子的烷基也与氮原子一起被断裂开。OH基团被附接至断裂的键。所得到的化学结构可以如图14中示意性地示出的。图16示出了界面36处的结构(也参考图4)。在电介质层34的位于界面36的相对侧上的部分中形成的Si-OH键被紧密地定位,并且电介质层34的位于界面36的相对侧上的部分可以彼此接触。然而,没有形成交联。在高温湿法退火工艺期间,电介质层34膨胀,并且体积中的膨胀率可以高达约10%。作为膨胀的结果,电介质层34的位于界面36的相对侧上的部分彼此紧密接触,并且可以消除接缝36(图4和15)和空隙38(图4)。这使得后续交联工艺成为可能。
在高温湿法退火工艺之后,执行干法退火工艺以进行交联。相应工艺被示出为图22中示出的工艺流程200中的工艺220。可以使用无氧工艺气体(例如,氮(N2)、氩等)作为工艺气体。干法退火温度不能过高或过低。如果温度过低,则OH键可能不会断裂,并且可能无法实现交联。如果温度过高,则半导体(例如,SiGe)可能与周围材料混合。根据本公开的一些实施例,干法退火工艺是在约550℃至约650℃的范围内的温度下执行的。干法退火工艺可以持续约0.5小时至约1.5小时的范围内的一段时间。压力可以是大约1个大气压。载气可以用于带走生成的H2O蒸汽。载气可以是氮、氩等。
在干法退火工艺中,OH键和Si-O键(图14和图16)断裂,断裂的H和OH结合形成H2O分子,如图18所示。氧原子(由于H原子的损失,该氧原子的键变得悬空)可以与Si键合以形成氧化硅(SiO2)。在完成干法退火工艺之后,在氧化硅(电介质层34)中可能残留有少量的碳和氮原子,其中碳和氮的原子百分比小于约1%,并且可能在约0.5%至约1.0%之间。这与使用常规方法形成的STI区域(在常规方法形成的STI区域中,可能不存在碳)不同。
如图18所示,先前存在的界面/接缝36的相对侧上的硅原子通过氧原子交联。因此,电介质层34的位于界面36的相对侧上的部分之间形成交联。由实心点表示的H2O分子被带走。图5示出了所得到的结构,其中已经消除了在沉积工艺中形成的接缝/界面,并且可能不再存在可区分的界面。
根据一些实施例,窄沟槽32A在前述工艺中被完全填充。因为电介质层34的沉积是使用ALD(其是共形沉积方法)执行的,所以在沉积工艺完成时,宽沟槽32B可能未被完全填充。因此,如图5所示,宽沟槽32B的一些部分未被填充。电介质层34的位于宽沟槽32B中的部分是共形的。
参考图6,剩余的宽沟槽32B被填充有电介质层40。相应工艺被示出为图22中示出的工艺流程200中的工艺222。电介质层40也可以是使用例如ALD、高密度等离子体化学气相沉积(HDPCVD)、或化学气相沉积(CVD)形成的沉积的氮化硅层、含碳电介质等。电介质层40也可以使用可流动化学气相沉积(FCVD)、旋涂涂层等由SiOCN形成。将电介质层40沉积到高于电介质层34的顶表面的水平。
参考图7,然后执行平坦化工艺(例如,化学机械抛光(CMP)工艺、或机械研磨工艺)以移除电介质材料的超出部分。(一个或多个)电介质材料的剩余部分是STI区域42。相应工艺被示出为图22中示出的工艺流程200中的工艺222。可以使用硬掩模30作为CMP停止层来执行平坦化工艺。位于紧密定位的半导体条带26之间的STI区域42可以由均质材料34形成,其一直延伸到相对的半导体条带26。在宽沟槽中形成的STI区域可以包括共形电介质层34和电介质区域40。电介质层34将具有位于电介质区域42的相对侧上并且与电介质区域42的相对侧壁接触的垂直部分,但示出了一个垂直部分。
然后蚀刻硬掩模30和衬垫氧化物层28。如图8所示,电介质层34被凹陷,使得半导体条带26的顶部突出高于STI区域42的剩余部分的顶表面34A,以形成突出的鳍44。相应工艺被示出为图22中示出的工艺流程200中的工艺224。可以使用干法蚀刻工艺(例如,使用HF3和NH3作为蚀刻气体)来执行蚀刻。根据本公开的替代实施例,使用湿法蚀刻工艺执行对电介质层34的凹陷。蚀刻化学品可以包括例如HF溶液。
在凹陷工艺中,不蚀刻电介质区域40,使得虚设(电介质)鳍46突出高于STI区域42的剩余部分的顶表面34A。虚设电介质鳍46如下而被命名:特征46突出在相邻的电介质层34上方,因此形成鳍,而与可用于形成FinFET的典型半导体鳍不同,这些鳍不能用于形成FinFET。因为电介质层34的共形沉积,所以在窄沟槽32A被电介质层34填充时,宽沟槽32B(图2B)未被完全填充。这使得电介质层40的填充成为可能,并且使得虚设鳍46的形成成为可能。在FinFET的尺寸非常小时,虚设鳍的生成有助于改善FinFET的器件性能。
在后续形成工艺中,基于突出的半导体鳍44来形成FinFET 54(图9)。图9示出了突出的鳍44和栅极堆叠52(其在突出的半导体鳍44和虚设鳍46的侧壁和顶表面上延伸)的横截面视图。在后续段落中简要讨论了示例性形成工艺。
根据本公开的一些实施例,虚设栅极堆叠(未示出)被形成为在突出的半导体鳍44和虚设鳍46的侧壁和顶表面上延伸。然后在虚设栅极堆叠的侧壁上形成栅极间隔件(未示出)。然后,在虚设栅极堆叠和栅极间隔件的相对侧上形成源极/漏极区域(未示出),例如,通过蚀刻突出的半导体鳍44的未被虚设栅极堆叠覆盖的部分,并且外延生长源极/漏极区域。然后形成接触蚀刻停止层(CESL)56和层间电介质(ILD)58以覆盖源极/漏极区域和虚设栅极堆叠。然后蚀刻虚设栅极堆叠以重新暴露突出的半导体鳍44。然后,在被移除的虚设栅极堆叠留下的凹槽中形成包括栅极电介质48和栅极电极50的栅极堆叠52。
本公开的实施例具有一些有利特征。传统STI形成使用可流动的CVD(其不能形成共形电介质层),并且因此不能形成虚设电介质鳍。根据本公开的一些实施例,ALD工艺被用来形成碳-氮掺杂膜,然后该碳-氮掺杂膜被退火以形成氧化硅膜。通过一系列低温湿法退火工艺、高温湿法退火工艺、和干法退火工艺来消除在ALD工艺期间生成的接缝和空隙。
根据本公开的一些实施例,一种方法,包括:蚀刻半导体衬底以形成沟槽;使用ALD循环来沉积电介质层,其中,电介质层延伸到沟槽中,并且其中,ALD循环包括:脉冲HCD到半导体衬底;清除HCD;脉冲三乙胺到半导体衬底;以及清洗三乙胺;以及对电介质层执行退火工艺。在实施例中,ALD循环还包括:在三乙胺被清除之后,脉冲氧(O2)到半导体衬底;以及清除氧。在实施例中,方法还包括:重复包括脉冲氧的ALD循环。在实施例中,方法还包括:重复ALD循环。在实施例中,退火工艺包括:低温湿法退火工艺,该低温湿法退火工艺在第一温度下执行;高温湿法退火工艺,该高温湿法退火工艺在高于所述第一温度的第二温度下执行;以及干法退火工艺,该干法退火工艺在高于所述第一温度的第三温度下执行。在实施例中,低温退火工艺是在处于约300℃至约450℃的范围内的第一温度下执行的。在实施例中,高温退火工艺是在处于约500℃至约650℃的范围内的第二温度下执行的。在实施例中,干法退火工艺是在处于约500℃至约650℃的范围内的第三温度下执行的。
根据本公开的一些实施例,一种方法,包括:在半导体条带上沉积电介质层,其中,沉积电介质层包括循环,并且该循环包括:将硅原子和氯原子附接到半导体带上的氧原子;用氮原子和烷基取代氯原子;以及用氧原子取代氮原子和烷基的第一部分;用OH键来移除氮原子和烷基的第二部分;以及对电介质层进行退火以形成Si-O-Si键。在实施例中,循环包括原子层沉积(ALD)循环,并且附接硅原子和氯原子包括:脉冲HCD;以及清除HCD。在实施例中,循环包括ALD循环,并且取代氯原子包括:脉冲三乙胺;以及清除三乙胺。在实施例中,循环包括ALD循环,并且取代氮原子和烷基的第一部分包括:脉冲氧(O2);以及清除氧。在实施例中,对电介质层进行退火包括:在第一温度下驱动H2O分子进入电介质层;在高于第一温度的第二温度下用氧原子和OH分子取代氮原子和烷基;以及通过干法退火工艺形成Si-O-Si键,其中,干法退火工艺是在高于第一温度的第三温度下执行的。在实施例中,电介质层是在沟槽中形成的,其中,半导体条带位于沟槽的一侧,并且方法还包括:形成附加电介质区域,其中,半导体条带和附加电介质区域与电介质层的一部分的相对侧壁接触;回蚀电介质层的该部分,其中,半导体条带的顶部形成半导体鳍,并且附加电介质区域的顶部形成虚设电介质鳍;以及形成在半导体鳍和附加电介质区域上延伸的栅极堆叠。
根据本公开的一些实施例,集成电路结构包括:第一半导体条带;电介质层,该电介质层包括氧化硅,碳掺杂在氧化硅中,其中,电介质层包括:水平部分;垂直部分,该垂直部分与水平部分的端部连接,其中,垂直部分与第一半导体条带的下部的侧壁接触,其中,第一半导体条的顶部突出高于垂直部分的顶表面以形成半导体鳍;以及栅极堆叠,该栅极堆叠在半导体鳍的侧壁和顶表面上延伸。在实施例中,集成电路结构还包括:电介质区域,该电介质区域与水平部分重叠,其中,电介质区域的顶部突出高于垂直部分的顶表面以形成虚设电介质鳍,其中,栅极堆叠进一步在虚设电介质鳍的侧壁和顶表面上延伸。在实施例中,电介质区域和电介质层是由不同的电介质材料形成的。在实施例中,集成电路结构还包括:层间电介质,该层间电介质与虚设电介质鳍重叠。在实施例中,垂直部分和水平部分具有相同的厚度。在实施例中,集成电路结构还包括:第二半导体条带;附加电介质层,其中,附加电介质层是由与电介质层的电介质材料相同的均质电介质材料形成的,并且其中,附加电介质层之中没有接缝。
根据本公开的一些实施例,一种方法,包括:形成第一半导体条带;沉积电介质层,该电介质层包括氧化硅,碳掺杂在氧化硅中,其中,电介质层包括:水平部分;垂直部分,该垂直部分与水平部分的端部连接,其中,垂直部分与第一半导体条带的下部的侧壁接触,其中,第一半导体条带的顶部突出高于垂直部分的顶表面以形成半导体鳍;以及形成在半导体鳍的侧壁和顶表面上延伸的栅极堆叠。在实施例中,方法还包括:形成与水平部分重叠的电介质区域,其中,电介质区域的顶部突出高于垂直部分的顶表面以形成虚设电介质鳍,其中,栅极堆叠进一步在虚设电介质鳍的侧壁和顶表面上延伸。在实施例中,电介质区域和电介质层是由不同的电介质材料形成的。在实施例中,方法还包括:沉积与虚设电介质鳍重叠的层间电介质。在实施例中,电介质层是使用共形沉积工艺沉积的。在实施例中,方法还包括:在沉积电介质层之后并且在形成栅极叠层之前:在第一温度下执行低温湿法退火工艺;在低温湿法退火工艺之后,在高于第一温度的第二温度下执行高温湿法退火工艺;以及在高温湿法退火工艺之后,在高于第一温度的第三温度下执行干法退火工艺。
以上概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改其他工艺和结构以实现本文介绍的实施例的相同目的和/或实现本文介绍的实施例的相同优点的基础。本领域技术人员还应该认识到,这样的等同构造不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下在本文中进行各种改变、替换和变更。
示例1.一种形成半导体器件的方法,包括:蚀刻半导体衬底以形成沟槽;使用原子层沉积(ALD)循环来沉积电介质层,其中,所述电介质层延伸到所述沟槽中,并且其中,所述ALD循环包括:脉冲六氯乙硅烷(HCD)到所述半导体衬底;清除所述HCD;脉冲三乙胺到所述半导体衬底;以及清除所述三乙胺;以及对所述电介质层执行退火工艺。
示例2.根据示例1所述的方法,其中,所述ALD循环还包括:在所述三乙胺被清除之后,脉冲氧(O2)到所述半导体衬底;以及清除所述氧。
示例3.根据示例2所述的方法,还包括:重复包括脉冲氧的所述ALD循环。
示例4.根据示例1所述的方法,还包括:重复所述ALD循环。
示例5.根据示例1所述的方法,其中,所述退火工艺包括:低温湿法退火工艺,所述低温湿法退火工艺在第一温度下执行;高温湿法退火工艺,所述高温湿法退火工艺在高于所述第一温度的第二温度下执行;以及干法退火工艺,所述干法退火工艺在高于所述第一温度的第三温度下执行。
示例6.根据示例5所述的方法,其中,所述低温退火工艺是在处于约300℃至约450℃的范围内的所述第一温度下执行的。
示例7.根据示例5所述的方法,其中,所述高温退火工艺是在处于约500℃至约650℃的范围内的所述第二温度下执行的。
示例8.根据示例5所述的方法,其中,所述干法退火工艺是在处于约500℃至约650℃的范围内的所述第三温度下执行的。
示例9.一种形成半导体器件的方法,包括:在半导体条带上沉积电介质层,其中,沉积所述电介质层包括循环,并且所述循环包括:将硅原子和氯原子附接到所述半导体条带上的氧原子;用氮原子和烷基取代所述氯原子;以及用氧原子取代所述氮原子和烷基的第一部分;用OH键来移除所述氮原子和烷基的第二部分;以及对所述电介质层进行退火以形成Si-O-Si键。
示例10.根据示例9所述的方法,其中,所述循环包括原子层沉积(ALD)循环,并且附接硅原子和氯原子包括:脉冲六氯乙硅烷(HCD);以及清除所述HCD。
示例11.根据示例9所述的方法,其中,所述循环包括原子层沉积(ALD)循环,并且取代所述氯原子包括:脉冲三乙胺;以及清除所述三乙胺。
示例12.根据示例9所述的方法,其中,所述循环包括原子层沉积(ALD)循环,并且取代所述氮原子和烷基的所述第一部分包括:脉冲氧(O2);以及清除所述氧。
示例13.根据示例9所述的方法,其中,对所述电介质层进行退火包括:在第一温度下驱动H2O分子进入所述电介质层;在高于所述第一温度的第二温度下用氧原子和OH分子取代所述氮原子和烷基;以及通过干法退火工艺形成所述Si-O-Si键,其中,所述干法退火工艺是在高于所述第一温度的第三温度下执行的。
示例14.根据示例9所述的方法,其中,所述电介质层是在沟槽中形成的,所述半导体条带位于所述沟槽的一侧,并且所述方法还包括:形成附加电介质区域,其中,所述半导体条带和所述附加电介质区域与所述电介质层的一部分的相对侧壁接触;回蚀所述电介质层的该部分,其中,所述半导体条带的顶部形成半导体鳍,并且所述附加电介质区域的顶部形成虚设电介质鳍;以及形成在所述半导体鳍和所述附加电介质区域上延伸的栅极堆叠。
示例15.一种形成半导体器件的方法,包括:形成第一半导体条带;沉积电介质层,所述电介质层包括氧化硅,碳掺杂在所述氧化硅中,其中所述电介质层包括:水平部分;以及垂直部分,所述垂直部分与所述水平部分的端部连接,其中,所述垂直部分与所述第一半导体条带的下部的侧壁接触,其中,所述第一半导体条带的顶部突出高于所述垂直部分的顶表面以形成半导体鳍;以及形成在所述半导体鳍的侧壁和顶表面上延伸的栅极堆叠。
示例16.根据示例15所述的方法,还包括:形成与所述水平部分重叠的电介质区域,其中,所述电介质区域的顶部突出高于所述垂直部分的所述顶表面以形成虚设电介质鳍,其中,所述栅极堆叠进一步在所述虚设电介质鳍的侧壁和顶表面上延伸。
示例17.根据示例16所述的方法,其中,所述电介质区域和所述电介质层是由不同的电介质材料形成的。
示例18.根据示例16所述的方法,还包括:沉积与所述虚设电介质鳍重叠的层间电介质。
示例19.根据示例15所述的方法,其中,所述电介质层是使用共形沉积工艺沉积的。
示例20.根据示例15所述的方法,还包括:在沉积所述电介质层之后并且在形成所述栅极堆叠之前:在第一温度下执行低温湿法退火工艺;在所述低温湿法退火工艺之后,在高于所述第一温度的第二温度下执行高温湿法退火工艺;以及在所述高温湿法退火工艺之后,在高于所述第一温度的第三温度下执行干法退火工艺。

Claims (10)

1.一种形成半导体器件的方法,包括:
蚀刻半导体衬底以形成沟槽;
使用原子层沉积(ALD)循环来沉积电介质层,其中,所述电介质层延伸到所述沟槽中,并且其中,所述ALD循环包括:
脉冲六氯乙硅烷(HCD)到所述半导体衬底;
清除所述HCD;
脉冲三乙胺到所述半导体衬底;以及
清除所述三乙胺;以及
对所述电介质层执行退火工艺。
2.根据权利要求1所述的方法,其中,所述ALD循环还包括:
在所述三乙胺被清除之后,脉冲氧(O2)到所述半导体衬底;以及
清除所述氧。
3.根据权利要求2所述的方法,还包括:重复包括脉冲氧的所述ALD循环。
4.根据权利要求1所述的方法,还包括:重复所述ALD循环。
5.根据权利要求1所述的方法,其中,所述退火工艺包括:
低温湿法退火工艺,所述低温湿法退火工艺在第一温度下执行;
高温湿法退火工艺,所述高温湿法退火工艺在高于所述第一温度的第二温度下执行;以及
干法退火工艺,所述干法退火工艺在高于所述第一温度的第三温度下执行。
6.根据权利要求5所述的方法,其中,所述低温退火工艺是在处于300℃至450℃的范围内的所述第一温度下执行的。
7.根据权利要求5所述的方法,其中,所述高温退火工艺是在处于500℃至650℃的范围内的所述第二温度下执行的。
8.根据权利要求5所述的方法,其中,所述干法退火工艺是在处于500℃至650℃的范围内的所述第三温度下执行的。
9.一种形成半导体器件的方法,包括:
在半导体条带上沉积电介质层,其中,沉积所述电介质层包括循环,并且所述循环包括:
将硅原子和氯原子附接到所述半导体条带上的氧原子;
用氮原子和烷基取代所述氯原子;以及
用氧原子取代所述氮原子和烷基的第一部分;
用OH键来移除所述氮原子和烷基的第二部分;以及
对所述电介质层进行退火以形成Si-O-Si键。
10.一种形成半导体器件的方法,包括:
形成第一半导体条带;
沉积电介质层,所述电介质层包括氧化硅,碳掺杂在所述氧化硅中,其中所述电介质层包括:
水平部分;以及
垂直部分,所述垂直部分与所述水平部分的端部连接,其中,所述垂直部分与所述第一半导体条带的下部的侧壁接触,其中,所述第一半导体条带的顶部突出高于所述垂直部分的顶表面以形成半导体鳍;以及
形成在所述半导体鳍的侧壁和顶表面上延伸的栅极堆叠。
CN201911053157.XA 2018-11-21 2019-10-31 半导体器件及其形成方法 Active CN111211088B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862770424P 2018-11-21 2018-11-21
US62/770,424 2018-11-21
US16/529,098 US11211243B2 (en) 2018-11-21 2019-08-01 Method of filling gaps with carbon and nitrogen doped film
US16/529,098 2019-08-01

Publications (2)

Publication Number Publication Date
CN111211088A true CN111211088A (zh) 2020-05-29
CN111211088B CN111211088B (zh) 2023-04-25

Family

ID=70727105

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911053157.XA Active CN111211088B (zh) 2018-11-21 2019-10-31 半导体器件及其形成方法

Country Status (3)

Country Link
KR (1) KR102288343B1 (zh)
CN (1) CN111211088B (zh)
TW (1) TWI791920B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112956012A (zh) * 2021-01-27 2021-06-11 长江存储科技有限责任公司 用于在半导体结构中形成阻挡层的方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220238697A1 (en) * 2021-01-28 2022-07-28 Taiwan Semiconductor Manfacturing Co., Ltd. Reducing K Values of Dielectric Films Through Anneal

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1480998A (zh) * 2002-07-08 2004-03-10 ���ǵ�����ʽ���� 采用原子层沉积工艺在基片上形成二氧化硅层的方法
CN1877815A (zh) * 2005-06-07 2006-12-13 海力士半导体有限公司 用于制造半导体器件的方法
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US20060292801A1 (en) * 2005-06-22 2006-12-28 Hynix Semicoductor Inc. Bit line of a semiconductor device and method for fabricating the same
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
CN101529599A (zh) * 2006-11-20 2009-09-09 应用材料股份有限公司 用于栅极叠层结构的集群顺序处理的方法
US20140183657A1 (en) * 2012-12-31 2014-07-03 Texas Instruments Incorporated Embedded Polysilicon Resistor in Integrated Circuits Formed by a Replacement Gate Process
CN106558473A (zh) * 2015-09-30 2017-04-05 台湾积体电路制造股份有限公司 制造半导体器件的方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR100866143B1 (ko) * 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8697515B2 (en) * 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9777373B2 (en) * 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10037912B2 (en) * 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1480998A (zh) * 2002-07-08 2004-03-10 ���ǵ�����ʽ���� 采用原子层沉积工艺在基片上形成二氧化硅层的方法
CN1877815A (zh) * 2005-06-07 2006-12-13 海力士半导体有限公司 用于制造半导体器件的方法
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US20060292801A1 (en) * 2005-06-22 2006-12-28 Hynix Semicoductor Inc. Bit line of a semiconductor device and method for fabricating the same
CN101529599A (zh) * 2006-11-20 2009-09-09 应用材料股份有限公司 用于栅极叠层结构的集群顺序处理的方法
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20140183657A1 (en) * 2012-12-31 2014-07-03 Texas Instruments Incorporated Embedded Polysilicon Resistor in Integrated Circuits Formed by a Replacement Gate Process
CN106558473A (zh) * 2015-09-30 2017-04-05 台湾积体电路制造股份有限公司 制造半导体器件的方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112956012A (zh) * 2021-01-27 2021-06-11 长江存储科技有限责任公司 用于在半导体结构中形成阻挡层的方法
CN112956012B (zh) * 2021-01-27 2024-02-23 长江存储科技有限责任公司 用于在半导体结构中形成阻挡层的方法

Also Published As

Publication number Publication date
TW202038379A (zh) 2020-10-16
CN111211088B (zh) 2023-04-25
TWI791920B (zh) 2023-02-11
KR20200060679A (ko) 2020-06-01
KR102288343B1 (ko) 2021-08-11

Similar Documents

Publication Publication Date Title
CN111211089B (zh) 集成电路结构及其制造方法
US9343551B2 (en) Methods for manufacturing a fin structure of semiconductor device
US9209243B2 (en) Method of forming a shallow trench isolation structure
TWI509738B (zh) 半導體鰭變形調變
US9536772B2 (en) Fin structure of semiconductor device
KR101617498B1 (ko) 스트레인 버퍼 층을 가지는 금속 산화물 반도체 디바이스들 및 그 형성 방법들
US7947551B1 (en) Method of forming a shallow trench isolation structure
CN100594593C (zh) 半导体器件及其制造方法
US7396728B2 (en) Methods of improving drive currents by employing strain inducing STI liners
US20230360907A1 (en) Method of Filling Gaps with Carbon and Nitrogen Doped Film
US7238588B2 (en) Silicon buffered shallow trench isolation
CN111211088B (zh) 半导体器件及其形成方法
CN109037143B (zh) 包括沟槽隔离的半导体装置
US7422961B2 (en) Method of forming isolation regions for integrated circuits
US6673696B1 (en) Post trench fill oxidation process for strained silicon processes
CN108878361A (zh) 半导体器件及其制造方法
CN107658227A (zh) 源/漏的形成方法以及半导体器件的形成方法
CN106847697A (zh) 鳍式场效应晶体管的形成方法
US20230187265A1 (en) Stress Modulation Using STI Capping Layer for Reducing Fin Bending

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant