CN111192923A - 包括源极/漏极区的半导体器件 - Google Patents

包括源极/漏极区的半导体器件 Download PDF

Info

Publication number
CN111192923A
CN111192923A CN201910489544.1A CN201910489544A CN111192923A CN 111192923 A CN111192923 A CN 111192923A CN 201910489544 A CN201910489544 A CN 201910489544A CN 111192923 A CN111192923 A CN 111192923A
Authority
CN
China
Prior art keywords
source
active region
drain regions
layer
pair
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910489544.1A
Other languages
English (en)
Inventor
张星旭
李承勳
郑秀珍
曺荣大
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN111192923A publication Critical patent/CN111192923A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7838Field effect transistors with field effect produced by an insulated gate without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供了一种半导体器件,该半导体器件包括:有源区,限定在基底中;至少一个沟道层,在有源区上;栅电极,与有源区交叉,在有源区上,并围绕所述至少一个沟道层;以及成对的源极/漏极区,与栅电极的两侧相邻,在有源区上,并与所述至少一个沟道层接触,其中,成对的源极/漏极区包括选择性外延生长(SEG)层,以及成对的源极/漏极区中的每个在第一方向上的最大宽度是有源区在第一方向上的宽度的1.3倍或者更小。

Description

包括源极/漏极区的半导体器件
于2018年11月14日在韩国知识产权局(KIPO)提交并且名称为“包括源极/漏极区的半导体器件”的第10-2018-0139521号韩国专利申请通过引用全部包含于此。
技术领域
实施例涉及一种具有源极/漏极区的半导体器件。
背景技术
使用选择性外延生长(SEG,selective epitaxial growth)形成源极/漏极区的技术可以有助于提高晶体管的特性。
发明内容
可以通过提供一种半导体器件而实现实施例,该半导体器件包括:有源区,限定在基底中;至少一个沟道层,在有源区上;栅电极,与有源区交叉,在有源区上,并围绕所述至少一个沟道层;以及成对的源极/漏极区,与栅电极的两侧相邻,在有源区上,并与所述至少一个沟道层接触,其中,成对的源极/漏极区包括选择性外延生长(SEG)层,以及成对的源极/漏极区中的每个在第一方向上的最大宽度是有源区在第一方向上的宽度的1.3倍或者更小。
可以通过提供一种半导体器件而实现实施例,该半导体器件包括:有源区,限定在基底中;至少一个沟道层,在有源区上并具有P型杂质;栅电极,在有源区上与有源区交叉并围绕所述至少一个沟道层;以及成对的源极/漏极区,与栅电极的两侧相邻,在有源区上,并与所述至少一个沟道层接触,其中,成对的源极/漏极区包括具有N型杂质的选择性外延生长(SEG)层,以及成对的源极/漏极区中的每个在第一方向上的最大宽度是有源区在第一方向上的宽度的1.3倍或者更小。
可以通过提供一种半导体器件而实现实施例,该半导体器件包括:第一有源区和第二有源区,限定在基底中并且彼此分隔开;以及第一源极/漏极区和第二源极/漏极区,在第一有源区和第二有源区上并且彼此分隔开,其中,第一源极/漏极区和第二源极/漏极区包括选择性外延生长(SEG)层,以及第一源极/漏极区和第二源极/漏极区中的每个在第一方向上的最大宽度是第一有源区和第二有源区中对应的一个在第一方向上的宽度的1.3倍或者更小。
附图说明
通过参照附图详细描述示例性实施例,特征对于本领域技术人员将是明显的,其中:
图1示出了根据实施例的半导体器件的剖视图。
图2示出了根据实施例的半导体器件的布局图。
图3至图6示出了根据实施例的半导体器件的剖视图。
图7至图20示出了根据实施例的形成半导体器件的方法中的阶段的剖视图。
图21至图23示出了根据实施例的半导体器件的剖视图。
具体实施方式
图1示出了根据实施例的半导体器件的剖视图。图2示出了根据实施例的半导体器件的布局图。图1包括沿图2的线I-I'、线II-II'和线III-III'截取的剖视图。在实施方式中,半导体器件可以包括多桥沟道(MBC,multi-bridge channel)晶体管、纳米片晶体管或者栅极环绕式(GAA,gate-all-around)晶体管。
参照图1,根据实施例的半导体器件可以包括:基底21、有源区23、至少一个沟道层27、器件隔离层29、侧壁分隔件(spacer)38、多个内部分隔件43、成对的源极/漏极区45、层间绝缘层47、栅极介电层51、栅电极53和栅极覆盖图案55。所述至少一个沟道层27可以包括多个沟道层27。
参照图2,可以彼此平行地提供多个有源区23。可以彼此平行地提供多个栅电极53。多个栅电极53中的每个可与多个有源区23交叉。
再次参照图1和图2,器件隔离层29可以在基底21中。有源区23在基底21中可以通过器件隔离层29来限定。器件隔离层29的顶表面可以在低于有源区23的上端的水平上凹陷。多个沟道层27可以在垂直方向(例如,远离基底21)上依次堆叠在有源区23上。多个沟道层27可以与有源区23分隔开。多个沟道层27可以彼此分隔开。
栅电极53可以在有源区23上与有源区23交叉并且可围绕多个沟道层27。栅电极53可以在有源区23和多个沟道层27之间以及多个沟道层27之间,并且可以在多个沟道层27上与多个沟道层27交叉。栅电极53可以在器件隔离层29上延伸。栅电极53的底表面可以在低于有源区23的上端的水平上。栅电极53可以部分地覆盖有源区23的侧表面。在实施方式中,栅电极53的至少一部分可以在多个沟道层27的沟道层27之间或者有源区23和多个沟道层27之间。
栅极介电层51可以在栅电极53和多个沟道层27之间以及栅电极53和有源区23之间,并且可以在栅电极53和器件隔离层29之间延伸。栅极介电层51可以在栅电极53和多个内部分隔件43之间。栅极介电层51可以在侧壁分隔件38和栅电极53之间以及侧壁分隔件38和栅极覆盖图案55之间延伸。
成对的源极/漏极区45可以在有源区23上与栅电极53相邻或者在栅电极53的侧面(例如,两侧)。成对的源极/漏极区45可以与有源区23和多个沟道层27直接接触。成对的源极/漏极区45可以包括选择性外延生长(SEG)层。成对的源极/漏极区45可以包括例如磷化硅(SiP)、碳化硅(SiC)、硅(Si)或硅锗(SiGe)。成对的源极/漏极区45中的每个可以具有大于其横向宽度的垂直高度(例如,在远离基底21延伸的方向上)。成对的源极/漏极区45的上端可以在高于至少一个沟道层27的上端的水平上。例如,成对的源极/漏极区45的上端可以在高于多个沟道层27中最上沟道层27的上端的水平上。
成对的源极/漏极区45中的每个的横向宽度可以大于(例如,或者等于)有源区23的横向宽度。有源区23可以具有第一(例如,横向)宽度W1(在第一方向上)。成对的源极/漏极区45中的每个的最大宽度可以是第二(例如,横向)宽度W2(在第一方向上)。第二宽度W2可以是第一宽度W1的1.3倍或者更小(例如,但仍然大于或者等于第一宽度W1)。在实施方式中,第二宽度W2可以是第一宽度W1的1至1.3倍。成对的源极/漏极区45可以具有盒形形状、圆形形状或者圈形形状。当第二宽度W2大于或者等于第一宽度W1时,成对的源极/漏极区45和多个沟道层27之间的电阻可以减小。当第二宽度W2大于或者等于第一宽度W1时,可以提高半导体器件的电特性和可靠性。当第二宽度W2是第一宽度W1的1.3倍或者更小时,可以减小成对的源极/漏极区45的漏电流。当第二宽度W2是第一宽度W1的1.3倍或者更小时,可以提高半导体器件的集成密度。当第二宽度W2是第一宽度W1的1至1.3倍时,可以有助于最小化漏电流的半导体器件对增大集成密度可以是有利的,并且可以具有优异的电特性。
多个内部分隔件43可以在栅电极53和成对的源极/漏极区45之间。多个内部分隔件43可以在多个沟道层27的沟道层27之间。多个内部分隔件43可以在多个沟道层27的最下沟道层27和有源区23之间。
层间绝缘层47可以在器件隔离层29上以覆盖成对的源极/漏极区45。层间绝缘层47可以覆盖成对的源极/漏极区45的侧表面。栅极覆盖图案55可以覆盖栅电极53。
侧壁分隔件38可以在层间绝缘层47和栅电极53之间,以及层间绝缘层47和栅极覆盖图案55之间。侧壁分隔件38可以在多个沟道层27上。侧壁分隔件38可以与多个沟道层27的最上沟道层27的顶表面直接接触。侧壁分隔件38可以部分地保留在有源区23的侧表面上。层间绝缘层47、侧壁分隔件38和栅极覆盖图案55的上端可以基本上彼此共面。
在实施方式中,有源区23和多个沟道层27可以包括P型杂质。成对的源极/漏极区45可以包括N型杂质。成对的源极/漏极区45可以包括具有硅(Si)和磷(P)的SEG层。
在实施方式中,有源区23和多个沟道层27可以包括N型杂质。成对的源极/漏极区45可以包括P型杂质。成对的源极/漏极区45可以包括具有硅(Si)、锗(Ge)和硼(B)的SEG层。
图3至图6示出了根据实施例的半导体器件的剖视图。图3至图5示出了沿图2的线I-I'、线II-II'和线III-III'截取的剖视图。
参照图3,多个内部分隔件43可以抑制SEG层的过度横向生长。成对的源极/漏极区45的形状可以取决于多个内部分隔件43中的每个的高度和多个沟道层27中的每个的厚度。通过控制有源区23、多个沟道层27和多个内部分隔件43的尺寸以及有源区23、多个沟道层27和多个内部分隔件43之间的距离,成对的源极/漏极区45可以获得期望的尺寸和形状。成对的源极/漏极区45的侧表面可以具有多个粗糙或不平坦的部分。与成对的源极/漏极区45的与多个内部分隔件43相邻的部分相比或者相对于成对的源极/漏极区45的与多个内部分隔件43相邻的部分,成对的源极/漏极区45的与多个沟道层27相邻的部分可以在横向方向上突出。例如,在剖视图中,成对的源极/漏极区45可以具有波纹状边缘,其中成对的源极/漏极区45的突出部与多个沟道层27对齐(例如,相对于多个沟道层27处于同一高度)并且成对的源极/漏极区45的凹进部与多个内部分隔件43对齐。
参照图4,多个沟道层27的上角部和下角部可以被倒圆。有源区23的上角部可以被倒圆。多个源极/漏极区45中的每一个可以具有圈形形状。
参照图5,在向上的方向上(例如,在远离基底21的方向上),多个沟道层27中的沟道层27的宽度可以变小。在向下的方向上,有源区23的宽度可以变大(例如,增大)。
参照图6,器件隔离层29可以在基底21中,并且可以限定彼此分隔开的第一有源区23A和第二有源区23B。器件隔离层29可以在基底21中位于第一有源区23A和第二有源区23B之间以及第一有源区23A和第二有源区23B外侧。第一有源区23A和第二有源区23B可以彼此平行。第一源极/漏极区45A和第二源极/漏极区45B可以在第一有源区23A和第二有源区23B上并且彼此分隔开。第一源极/漏极区45A和第二源极/漏极区45B可以包括SEG层。第一源极/漏极区45A和第二源极/漏极区45B中的每个的最大宽度可以是第一有源区23A和第二有源区23B中对应的(例如,作为基础的)一个的宽度的1.3倍或者更小。在实施方式中,第一源极/漏极区45A和第二源极/漏极区45B中的每个的最大宽度可以是第一有源区23A和第二有源区23B中对应的一个的宽度的1至1.3倍。第一源极/漏极区45A和第二源极/漏极区45B中的每个可以具有盒形形状或者圈形形状。在实施方式中,第一源极/漏极区45A和第二源极/漏极区45B可以包括SiP。层间绝缘层47可以在器件隔离层29上以覆盖第一源极/漏极区45A和第二源极/漏极区45B。
层间绝缘层47可以在第一源极/漏极区45A和第二源极/漏极区45B之间以及第一源极/漏极区45A和第二源极/漏极区45B外侧。第一源极/漏极区45A可以与第二源极/漏极区45B电绝缘。第一源极/漏极区45A和第二源极/漏极区45B之间的漏电流可以被最小化。
图7至图19示出了根据实施例的形成半导体器件的方法中的阶段的剖视图。
参照图7,可在基底21上交替地且重复性地形成多个牺牲层25和多个沟道层27。
基底21可以是半导体基底,例如,硅晶圆或者绝缘体上硅(SOI,silicon-on-insulator)晶圆。基底21可以包括具有P型杂质的P阱、具有N型杂质的N阱或者它们的组合,然而为了简洁起见,将省略其说明。P型杂质可以包括硼(B),并且N型杂质可以包括磷(P)、砷(As)或者它们的组合。在实施方式中,基底21可以是具有P型杂质的单晶晶圆。
多个牺牲层25可以包括相对于多个沟道层27和基底21具有蚀刻选择性的材料。在实施方式中,多个牺牲层25中的每个可以包括利用SEG工艺形成的SiGe层,并且多个沟道层27中的每个可以包括利用SEG工艺形成的Si层。多个沟道层27中的每个可以包括P型杂质或者N型杂质。在实施方式中,多个沟道层27中的每个可以包括P型杂质。
参照图8,可以在多个沟道层27的最上沟道层上形成第一掩模图案33。第一掩模图案33可以包括第一缓冲层31和第一掩模层32。可以使用第一掩模图案33作为蚀刻掩模来部分地去除多个沟道层27、多个牺牲层25和基底21,从而形成多个沟槽28T。
可在基底21中在多个沟槽28T之间限定有源区23。多个牺牲层25和多个沟道层27可以在多个沟槽28T之间保留在有源区23上。
第一缓冲层31可以形成在多个沟道层27的最上沟道层和第一掩模层32之间。第一缓冲层31可以与多个沟道层27的最上沟道层直接接触。第一掩模层32可以形成在第一缓冲层31上。在实施方式中,第一缓冲层31可以包括氧化硅,并且第一掩模层32可以包括氮化硅。
多个沟槽28T的形成可以包括各向异性蚀刻工艺。多个沟槽28T的底部可以形成在低于基底21的顶表面的水平上。有源区23、多个牺牲层25和多个沟道层27的侧表面可以暴露在多个沟槽28T的侧壁。
参照图9,可以在多个沟槽28T的内部形成器件隔离层29。器件隔离层29可以包括诸如氧化硅、氮化硅、氮氧化硅或者它们的组合的绝缘材料。
参照图10,可以部分地蚀刻器件隔离层29,并可去除第一掩模图案33,以将多个牺牲层25和多个沟道层27暴露。也可以部分地暴露有源区23的侧表面。器件隔离层29的顶表面可以形成在低于有源区23的顶表面的水平上。
参照图11,可以形成第二缓冲层35、临时栅电极36、第二掩模图案37和侧壁分隔件38。
第二缓冲层35和临时栅电极36的形成可以包括多个薄膜形成工艺和多个图案化工艺。第二缓冲层35可以包括诸如氧化硅的氧化物。临时栅电极36可以包括多晶硅。临时栅电极36可以与多个牺牲层25和多个沟道层27交叉并且在器件隔离层29上延伸。临时栅电极36可以部分地覆盖多个沟道层27的顶表面和侧表面,部分地覆盖多个牺牲层25的侧表面,部分地覆盖有源区23的侧表面,并部分地覆盖器件隔离层29。第二缓冲层35可以形成在临时栅电极36和多个沟道层27之间,临时栅电极36和多个牺牲层25之间,临时栅电极36和有源区23之间,以及临时栅电极36和器件隔离层29之间。第二掩模图案37可以形成在临时栅电极36上。第二掩模图案37可以包括诸如氮化硅的氮化物。
侧壁分隔件38的形成可以包括薄膜形成工艺和各向异性蚀刻工艺。侧壁分隔件38可以包括诸如氮化硅的氮化物。侧壁分隔件38可以覆盖第二缓冲层35的侧表面和临时栅电极36的侧表面并且在第二掩模图案37的侧表面上延伸。侧壁分隔件38可以部分地覆盖有源区23的侧表面。
参照图12,可以使用第二掩模图案37和侧壁分隔件38作为蚀刻掩模来部分地去除多个沟道层27、多个牺牲层25和有源区23以形成凹陷区40R。凹陷区40R的底部可以在低于有源区23的上端的水平上。多个沟道层27的侧表面和多个牺牲层25的侧表面可以在凹陷区40R的内侧暴露。有源区23可以在凹陷区40R的底部暴露。
参照图13,可部分地蚀刻多个牺牲层25以形成多个侧凹(undercut)区25uc。多个侧凹区25uc的形成可以包括各向同性蚀刻工艺。
参照图14,可在多个侧凹区25uc中形成多个内部分隔件43。多个内部分隔件43的形成可以包括薄膜形成工艺和各向异性蚀刻工艺。多个内部分隔件43可以包括诸如氮化硅的氮化物。有源区23可以具有第一宽度W1。
参照图15,可在有源区23上形成成对的源极/漏极区45。成对的源极/漏极区45可以包括利用SEG工艺形成的SEG层。成对的源极/漏极区45可以包括与多个沟道层27不同的导电类型的杂质。成对的源极/漏极区45可以包括P型杂质或者N型杂质。成对的源极/漏极区45可以包括SiP、SiC、Si或者SiGe。
成对的源极/漏极区45中的每个可以形成为具有与参照图1至图6所描述的形状相似的各种形状。成对的源极/漏极区45中的每个可以具有盒形形状或者圈形形状。在实施方式中,在利用SEG工艺形成成对的源极/漏极区45的工艺过程中,在有源区23和多个沟道层27的表面上可以相对地促进SEG层的形成,而在多个内部分隔件43的表面上可以相对地抑制SEG层的形成。
在成对的源极/漏极区45的形成过程中,多个内部分隔件43可以抑制SEG层的过度横向生长。成对的源极/漏极区45的形状可以取决于多个内部分隔件43中的每个的高度和多个沟道层27中的每个的厚度。通过控制有源区23、多个沟道层27和多个内部分隔件43的尺寸以及有源区23、多个沟道层27和多个内部分隔件43之间的距离,成对的源极/漏极区45可以获得期望的尺寸和形状。成对的源极/漏极区45中的每个可以具有第二宽度W2。第二宽度W2可以是第一宽度W1的1.3倍或者更小。在实施例中,第二宽度W2可以大于第一宽度W1。第二宽度W2可以是第一宽度W1的1至1.3倍。
在实施方式中,多个内部分隔件43中的每个的高度可以是多个沟道层27中相邻的一个的厚度的0.8倍或者更大。在实施方式中,多个内部分隔件43中的每个的高度可以是多个沟道层27中相邻的一个的厚度的0.8至5倍。在实施方式中,多个内部分隔件43中的每个的高度可以与多个沟道层27中相邻的一个的厚度大约相等。
在实施方式中,有源区23和多个沟道层27可以包括P型杂质。成对的源极/漏极区45可以包括SiP层、包含N型杂质的SiC层或者包含N型杂质的Si层。例如,成对的源极/漏极区45中的每个可以包括利用SEG工艺形成的SiP层。
在实施方式中,有源区23和多个沟道层27可以包括N型杂质。成对的源极/漏极区45可以包括包含P型杂质的SiGe层或者包含P型杂质的Si层。例如,成对的源极/漏极区45中的每个可以包括利用SEG工艺形成的掺杂硼(B)的SiGe层。
根据实施例,可以抑制成对的源极/漏极区45的过度横向生长,并且成对的源极/漏极区45可以获得期望的形状。由于成对的源极/漏极区45的期望形状,所以可以将漏电流最小化,并且可以实现有利于增大集成密度并且具有优异的操作特性的半导体器件。
参照图16,可以形成层间绝缘层47以覆盖成对的源极/漏极区45、第二掩模图案37和侧壁分隔件38。层间绝缘层47可以包括氧化硅、氮化硅、氮氧化硅、碳氮氧化硅(SiOCN)、低k介电材料、高k介电材料或者它们的组合。层间绝缘层47可以包括复层,所述复层包括多个不同的层。
参照图17,可以部分地去除层间绝缘层47,并可以去除第二掩模图案37以暴露临时栅电极36。暴露临时栅电极36的工艺可以包括平坦化工艺。平坦化工艺可以包括化学机械抛光(CMP)工艺、回蚀工艺或者它们的组合。层间绝缘层47、侧壁分隔件38和临时栅电极36的顶表面可以被暴露并且基本上彼此共面。
参照图18,可以去除临时栅电极36以形成栅极沟槽36T。栅极沟槽36T的形成可以包括各向同性蚀刻工艺。
参照图19,可以去除第二缓冲层35,并且可以去除多个牺牲层25以形成多个间隙区25G。
再次参照图1,可以形成栅极介电层51、栅电极53和栅极覆盖图案55。栅极介电层51、栅电极53和栅极覆盖图案55的形成可以包括多个薄膜形成工艺和多个平坦化工艺。
栅电极53可以形成在栅极沟槽36T和多个间隙区25G内部。栅电极53可以在有源区23和多个沟道层27上与有源区23和多个沟道层27交叉。成对的源极/漏极区45可以保留为与栅电极53的两侧相邻。
栅电极53可以包括金属、金属氮化物、金属氧化物、金属硅化物、导电碳、多晶硅或者它们的组合。栅电极53可以包括钨(W)、氮化钨(WN)、钛(Ti)、氮化钛(TiN)、氮化钛硅(TiSiN)、钽(Ta)、氮化钽(TaN)、氮化钽硅(TaSiN)、锆(Zr)、氮化锆(ZrN)、铝(Al)、氮化铝(AlN)、钌(Ru)、氮化钌(RuN)、钼(Mo)、氮化钼(MoN)、氮化钼硅(MoSiN)、钴(Co)、氮化钴(CoN)、氮化钴硅(CoSiN)、镍(Ni)、硅(Si)或者它们的组合。栅电极53可以包括NMOS功函数金属或者PMOS功函数金属。栅电极53可以被称作替代金属栅极(RMG,replacement metalgate)。
栅极介电层51可以形成在栅电极53和有源区23之间以及栅电极53和多个沟道层27之间。栅极介电层51可以在栅电极53和侧壁分隔件38之间以及栅电极53和器件隔离层29之间延伸。在有源区23和多个沟道层27的表面上还可以形成界面介电层(例如,利用清洗工艺形成的氧化硅层)。栅极介电层51可以包括高k介电材料、氧化硅、氮化硅、氮氧化硅或者它们的组合。例如,栅极介电层51可以包括氧化铪(HfO)、氧化铪硅(HfSiO)、氧化铝(AlO)或者它们的组合。
多个沟道层27中的每个可以被栅电极53围绕。栅极介电层51可以在多个沟道层27和栅电极53之间。多个沟道层27中的每个可以被栅极介电层51围绕。
栅极覆盖图案55可以覆盖栅电极53。层间绝缘层47、侧壁分隔件38和栅极覆盖图案55的顶表面可以被暴露并且基本上彼此共面。栅极覆盖图案55可以包括诸如氮化硅的氮化物。
图20示出了根据实施例的形成半导体器件的方法中的阶段的剖视图。
参照图20,在利用SEG工艺形成成对的源极/漏极区45的工艺过程中,在有源区23和多个沟道层27的表面上可以相对地促进SEG层的形成,而在多个内部分隔件43的表面上可以相对地抑制SEG层的形成。在成对的源极/漏极区45的形成过程中,多个内部分隔件43可以抑制SEG层的过度横向生长。成对的源极/漏极区45的形状可以取决于多个内部分隔件43中的每个的高度和多个沟道层27中的每个的厚度。
通过控制有源区23、多个沟道层27和多个内部分隔件43的尺寸以及有源区23、多个沟道层27和多个内部分隔件43之间的距离,成对的源极/漏极区45可以获得期望的尺寸和形状。在实施方式中,多个内部分隔件43中的每个的高度可以是多个沟道层27中相邻的一个的厚度的0.8至5倍。成对的源极/漏极区45的侧表面可以包括多个粗糙部分。与成对的源极/漏极区45的与多个内部分隔件43相邻的部分相比,成对的源极/漏极区45的与多个沟道层27相邻的部分可以在横向方向上突出。
图21至图23示出根据实施例的半导体器件的剖视图。
参照图21,成对的源极/漏极区45中的每个可以包括第一SEG层L1、第二SEG层L2和第三SEG层L3。第一SEG层L1可以形成在有源区23上并且与至少一个沟道层27直接接触。第一SEG层L1可以包括第一重量百分比(wt%)的N型杂质或者第二重量百分比的P型杂质。第一SEG层L1可以包括与至少一个沟道层27和有源区23不同的导电类型的杂质。第二SEG层L2可以在第一SEG层L1上。第二SEG层L2可以包括第三重量百分比的N型杂质或者第四重量百分比的P型杂质。在实施方式中,第三重量百分比可以高于第一重量百分比,并且第四重量百分比可以高于第二重量百分比。第二SEG层L2可以包括与第一SEG层L1相同的导电类型的杂质。
第三SEG层L3可以在第二SEG层L2上。第三SEG层L3可以包括第五重量百分比的N型杂质或者第六重量百分比的P型杂质。第五重量百分比可以高于第三重量百分比,并且第六重量百分比可以高于第四重量百分比。在实施方式中,第五重量百分比可以低于第三重量百分比,并且第六重量百分比可以低于第四重量百分比。
参照图22,上绝缘层77可以在层间绝缘层47上以覆盖第一源极/漏极区45A和第二源极/漏极区45B。第一接触塞88A和第二接触塞88B可以穿过上绝缘层77和层间绝缘层47并且可以分别连接到第一源极/漏极区45A和第二源极/漏极区45B。接触分隔件81可以围绕第一接触塞88A和第二接触塞88B的侧表面。第一金属硅化物层83A和第二金属硅化物层83B可以在第一接触塞88A和第一源极/漏极区45A之间以及第二接触塞88B和第二源极/漏极区45B之间。第一接触塞88A和第二接触塞88B中的每个可以包括阻挡金属层84和塞导电层85。
上绝缘层77可以包括氧化硅、氮化硅、氮氧化硅、碳氮氧化硅(SiOCN)、低k介电材料、高k介电材料或者它们的组合。上绝缘层77可以是包括多个不同层的复层。接触分隔件81可以包括诸如氮化硅的氮化物。阻挡金属层84可以围绕塞导电层85的侧表面和底表面。阻挡金属层84可以包括Ti、TiN、Ta、TaN或者它们的组合。塞导电层85可以包括金属、金属氮化物、金属氧化物、金属硅化物、导电碳、多晶硅或者它们的组合。
参照图23,接触塞88可以穿过上绝缘层77和层间绝缘层47,并且可以连接到第一源极/漏极区45A和第二源极/漏极区45B。接触塞88可以包括阻挡金属层84和塞导电层85。接触分隔件81可以围绕接触塞88的侧表面。第一金属硅化物层83A和第二金属硅化物层83B可以在接触塞88与第一源极/漏极区45A和第二源极/漏极区45B之间。
通过总结和回顾,SEG层的横向生长会导致相邻的源极/漏极区之间的漏电流增加。
一个或者更多实施例可以提供一种用于提高晶体管的电特性,同时将漏电流最小化的新技术。
根据示例性实施例,可以在有源区上与栅电极的两侧相邻地提供成对的源极/漏极区。成对的源极/漏极区可以与至少一个沟道层接触。成对的源极/漏极区中的每个的最大宽度可以是有源区的宽度的1.3倍或者更小。成对的源极/漏极区中的每个的形状可以包括盒形形状或者圈形形状。可以实现可将漏电流最小化、可以有利于提高集成密度并且可以具有优异的操作特性的半导体器件。
一个或者更多实施例可以提供可以有助于将漏电流最小化并且可以具有优异的操作特性的半导体器件和形成该半导体器件的方法。
这里已经公开了示例性实施例,并且虽然采用了特定术语,但它们仅以一般性和描述性意义使用和解释,而不是为了限制的目的。在某些情况下,如截止到本申请提交之时的本领域普通技术人员将清楚的,结合具体实施例描述的特征、特性和/或元件可以单独使用,或者可与结合其他实施例描述的特征、特性和/或元件组合起来使用,除非另外特别说明。因此,本领域技术人员将理解的是,在不脱离本发明的由权利要求书阐述的精神和范围的情况下,可以做出形式上和细节上的各种改变。

Claims (20)

1.一种半导体器件,包括:
有源区,限定在基底中;
至少一个沟道层,在所述有源区上;
栅电极,与所述有源区交叉,在所述有源区上,并围绕所述至少一个沟道层;以及
成对的源极/漏极区,与所述栅电极的两侧相邻,在所述有源区上,并与所述至少一个沟道层接触,
其中:
所述成对的源极/漏极区包括选择性外延生长层,以及
所述成对的源极/漏极区中的每个在第一方向上的最大宽度是所述有源区在所述第一方向上的宽度的1.3倍或者更小。
2.如权利要求1所述的半导体器件,其中,所述成对的源极/漏极区中的每个具有盒形形状、圆形形状或者圈形形状。
3.如权利要求1所述的半导体器件,其中,所述成对的源极/漏极区包括磷化硅、碳化硅、硅或者硅锗。
4.如权利要求1所述的半导体器件,其中,所述成对的源极/漏极区的上端比所述至少一个沟道层的上端离所述基底更远。
5.如权利要求1所述的半导体器件,其中:
所述至少一个沟道层包括多个沟道层,并且
所述栅电极的至少一部分在多个所述沟道层中的沟道层之间或者所述有源区和所述多个沟道层之间。
6.如权利要求5所述的半导体器件,其中,所述多个沟道层中的所述沟道层彼此分隔开并且在垂直方向上依次堆叠在所述有源区上。
7.如权利要求5所述的半导体器件,所述半导体器件还包括所述栅电极和所述成对的源极/漏极区之间的多个内部分隔件,
其中,所述多个内部分隔件在所述多个沟道层中的所述沟道层之间以及所述多个沟道层中的最下沟道层和所述有源区之间。
8.如权利要求7所述的半导体器件,其中,所述多个内部分隔件包括氮化硅。
9.如权利要求7所述的半导体器件,其中:
所述成对的源极/漏极区的侧表面包括多个不平坦部分,以及
相对于所述成对的源极/漏极区的与所述所述多个内部分隔件相邻的部分,所述成对的源极/漏极区的与所述多个沟道层相邻的部分在横向方向上突出。
10.如权利要求1所述的半导体器件,所述半导体器件还包括:
器件隔离层,在所述基底中并限定所述有源区;
层间绝缘层,在所述器件隔离层上并覆盖所述成对的源极/漏极区;以及
侧壁分隔件,在所述层间绝缘层和所述栅电极之间并在所述至少一个沟道层上。
11.如权利要求10所述的半导体器件,所述半导体器件还包括栅极介电层,所述栅极介电层在所述栅电极和所述至少一个沟道层之间以及所述栅电极和所述有源区之间。
12.如权利要求11所述的半导体器件,其中,所述栅极介电层在所述侧壁分隔件和所述栅电极之间延伸。
13.如权利要求1所述的半导体器件,其中,所述成对的源极/漏极区中的每个包括:
第一选择性外延生长层,与所述至少一个沟道层接触并具有第一重量百分比的N型杂质或者第二重量百分比的P型杂质;
第二选择性外延生长层,在所述第一选择性外延生长层上,并具有第三重量百分比的N型杂质或者第四重量百分比的P型杂质,所述第三重量百分比高于所述第一重量百分比,并且所述第四重量百分比高于所述第二重量百分比;以及
第三选择性外延生长层,在所述第二选择性外延生长层上。
14.如权利要求1所述的半导体器件,所述半导体器件还包括:
上绝缘层,在所述成对的源极/漏极区上;以及
接触塞,贯穿所述上绝缘层而连接到所述成对的源极/漏极区中被选择的一个。
15.一种半导体器件,包括:
有源区,限定在基底中;
至少一个沟道层,在所述有源区上并具有P型杂质;
栅电极,在所述有源区上与所述有源区交叉并围绕所述至少一个沟道层;以及
成对的源极/漏极区,与所述栅电极的两侧相邻,在所述有源区上,并与所述至少一个沟道层接触,
其中:
所述成对的源极/漏极区包括具有N型杂质的选择性外延生长层,以及
所述成对的源极/漏极区中的每个在第一方向上的最大宽度是所述有源区在所述第一方向上的宽度的1.3倍或者更小。
16.如权利要求15所述的半导体器件,其中,所述成对的源极/漏极区包括硅和磷。
17.一种半导体器件,包括:
第一有源区和第二有源区,限定在基底中并且彼此分隔开;以及
第一源极/漏极区和第二源极/漏极区,在所述第一有源区和所述第二有源区上并且彼此分隔开,
其中:
所述第一源极/漏极区和所述第二源极/漏极区包括选择性外延生长层,以及
所述第一源极/漏极区和所述第二源极/漏极区中的每个在第一方向上的最大宽度是所述第一有源区和所述第二有源区中对应的一个在所述第一方向上的宽度的1.3倍或者更小。
18.如权利要求17所述的半导体器件,其中,所述第一有源区与所述第二有源区平行。
19.如权利要求17所述的半导体器件,所述半导体器件还包括在所述基底中并在所述第一有源区和所述第二有源区之间的器件隔离层。
20.如权利要求19所述的半导体器件,所述半导体器件还包括在所述器件隔离层上并在所述第一源极/漏极区和第二源极/漏极区之间的层间绝缘层。
CN201910489544.1A 2018-11-14 2019-06-06 包括源极/漏极区的半导体器件 Pending CN111192923A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2018-0139521 2018-11-14
KR1020180139521A KR102524803B1 (ko) 2018-11-14 2018-11-14 소스/드레인 영역을 갖는 반도체 소자

Publications (1)

Publication Number Publication Date
CN111192923A true CN111192923A (zh) 2020-05-22

Family

ID=70549946

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910489544.1A Pending CN111192923A (zh) 2018-11-14 2019-06-06 包括源极/漏极区的半导体器件

Country Status (3)

Country Link
US (2) US11257905B2 (zh)
KR (1) KR102524803B1 (zh)
CN (1) CN111192923A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022257075A1 (zh) * 2021-06-10 2022-12-15 上海集成电路制造创新中心有限公司 源漏接触金属的工艺方法、器件及其制备方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11404325B2 (en) * 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US10916630B2 (en) * 2019-04-29 2021-02-09 International Business Machines Corporation Nanosheet devices with improved electrostatic integrity
US11417766B2 (en) * 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US11437474B2 (en) * 2020-08-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050191813A1 (en) * 2004-02-27 2005-09-01 Hyeoung-Won Seo Asymmetric source/drain transistor employing selective epitaxial growth (SEG) layer and method of fabricating same
CN104576737A (zh) * 2013-10-15 2015-04-29 英飞凌科技股份有限公司 半导体器件
US20160079422A1 (en) * 2011-12-23 2016-03-17 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
US20170110542A1 (en) * 2015-10-19 2017-04-20 Jeongyun Lee Semiconductor device having multi-channel and method of forming the same
CN107230729A (zh) * 2016-03-25 2017-10-03 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN108695377A (zh) * 2017-04-05 2018-10-23 三星电子株式会社 半导体装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9165767B2 (en) 2013-11-04 2015-10-20 Globalfoundries Inc. Semiconductor structure with increased space and volume between shaped epitaxial structures
KR102158963B1 (ko) * 2014-05-23 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9236452B2 (en) 2014-05-23 2016-01-12 Globalfoundries Inc. Raised source/drain EPI with suppressed lateral EPI overgrowth
KR102216511B1 (ko) * 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
KR20160112778A (ko) * 2015-03-20 2016-09-28 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체
US9472669B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin FET device with epitaxial source/drain
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9887269B2 (en) * 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
KR102409962B1 (ko) 2015-12-16 2022-06-16 삼성전자주식회사 반도체 장치
TWI612674B (zh) 2016-03-24 2018-01-21 台灣積體電路製造股份有限公司 鰭式場效電晶體及其製造方法
US10600638B2 (en) * 2016-10-24 2020-03-24 International Business Machines Corporation Nanosheet transistors with sharp junctions
US10707328B2 (en) * 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050191813A1 (en) * 2004-02-27 2005-09-01 Hyeoung-Won Seo Asymmetric source/drain transistor employing selective epitaxial growth (SEG) layer and method of fabricating same
US20160079422A1 (en) * 2011-12-23 2016-03-17 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
CN104576737A (zh) * 2013-10-15 2015-04-29 英飞凌科技股份有限公司 半导体器件
US20170110542A1 (en) * 2015-10-19 2017-04-20 Jeongyun Lee Semiconductor device having multi-channel and method of forming the same
CN107230729A (zh) * 2016-03-25 2017-10-03 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN108695377A (zh) * 2017-04-05 2018-10-23 三星电子株式会社 半导体装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022257075A1 (zh) * 2021-06-10 2022-12-15 上海集成电路制造创新中心有限公司 源漏接触金属的工艺方法、器件及其制备方法

Also Published As

Publication number Publication date
US20220102497A1 (en) 2022-03-31
KR102524803B1 (ko) 2023-04-24
KR20200055887A (ko) 2020-05-22
US11257905B2 (en) 2022-02-22
US11594598B2 (en) 2023-02-28
US20200152740A1 (en) 2020-05-14

Similar Documents

Publication Publication Date Title
US11923456B2 (en) Semiconductor devices
CN109524468B (zh) 半导体器件
US11594598B2 (en) Semiconductor device including source/drain region
US11721581B2 (en) Semiconductor devices including contact plugs
US11728343B2 (en) Semiconductor devices
US10431673B2 (en) Semiconductor devices
US20210091074A1 (en) Semiconductor device and method of manufacturing the same
US11695041B2 (en) Method of manufacturing a semiconductor device
US11522071B2 (en) Semiconductor device with channel patterns having different widths
US10991825B2 (en) Semiconductor device including non-active fins and separation regions
US11411106B2 (en) Semiconductor device
CN114388502A (zh) 半导体装置
US20220223711A1 (en) Semiconductor devices including separation structure
US20240096980A1 (en) Semiconductor device
US20240128321A1 (en) Semiconductor device including blocking layer and source/drain structure
US20220375847A1 (en) Semiconductor devices including gate structure and method of forming the same
KR102615708B1 (ko) 반도체 소자
US20240055428A1 (en) Semiconductor device and method of fabricating the same
CN116072611A (zh) 半导体装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination