CN110858587B - 芯片与电源规划方法 - Google Patents

芯片与电源规划方法 Download PDF

Info

Publication number
CN110858587B
CN110858587B CN201810912654.XA CN201810912654A CN110858587B CN 110858587 B CN110858587 B CN 110858587B CN 201810912654 A CN201810912654 A CN 201810912654A CN 110858587 B CN110858587 B CN 110858587B
Authority
CN
China
Prior art keywords
sub
macro
region
vertical
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810912654.XA
Other languages
English (en)
Other versions
CN110858587A (zh
Inventor
林家民
许志胜
黄柏元
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Himax Technologies Ltd
NCKU Research and Development Foundation
Original Assignee
Himax Technologies Ltd
NCKU Research and Development Foundation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Himax Technologies Ltd, NCKU Research and Development Foundation filed Critical Himax Technologies Ltd
Priority to CN201810912654.XA priority Critical patent/CN110858587B/zh
Publication of CN110858587A publication Critical patent/CN110858587A/zh
Application granted granted Critical
Publication of CN110858587B publication Critical patent/CN110858587B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一种芯片,包含基板;多个宏设于基板上,其具有设置区域,根据宏的位置将设置区域划分为多个子区域;及一或多个垂直电源线,设于每一个子区域。至少一个垂直电源线与相邻上面或下面子区域的垂直电源线不互相对齐。

Description

芯片与电源规划方法
技术领域
本发明是有关一种电源规划,特别是关于一种可绕度为导向(routability-driven)宏认知(macro-aware)的电源规划方法及芯片。
背景技术
电源规划为集成电路于实体设计当中的一个重要步骤。由于组件的缩小,芯片的单元区域可容纳更多的电子组件,使得芯片的功率密度大幅的增加。当代芯片的设计效能逐渐提升,造成芯片消耗大量的动态功率,使得压降(voltage drop)成为一个严重的问题。当使用较低的供应电压以降低集成电路的动态功率时,由于可容忍压降值跟着缩减,使得压降问题变得更为严重。
为了提供稳定与更强电源给组件,功率一般是借由全局(global)电源网络(powermesh)来传送给宏(macro)或标准组件(standard cell)。电源网络包含电源环、水平电源线及垂直电源线,通常是设于顶部的两金属层内。例如,上金属层的金属宽度较其他层来得宽,一般用以设置水平电源线。垂直电源线则设置于下一金属层,必须和信号网络(signalnets)共享绕线资源,因此电源网络的设计必须在压降与绕线面积(或拥塞)之间取得平衡。
由于制造技术的不断进步,当代的系统单芯片可包含好几百个智能财产(IP)宏,例如内嵌内存。所有的宏必须连接至电源/接地网络,电源规划变得更为复杂。当系统单芯片的宏数目不断增加,依赖有经验设计者来手动执行电源规划变得没有效率。
因此亟需提出一种新颖的电源规划机制,以增加绕线资源的整体效率。
发明内容
鉴于上述,本发明实施例的目的之一在于提出一种可绕度为导向(routability-driven)宏认知(macro-aware)的电源规划方法及芯片,可大量增进可绕度并根据宏的位置以促进宏的连接;提出一种有效电源绕线宽度,以增进整体绕线资源的有效利用;且提供更精确成本函数,根据动态规划算法以决定电源线的位置。
根据本发明实施例之一,芯片包含基板、多个宏及一或多个垂直电源线。宏设于基板上,其具有设置区域,根据宏的位置将设置区域划分为多个子区域。垂直电源线设于每一子区域。至少一个垂直电源线与相邻上面或下面子区域的垂直电源线不互相对齐。
所述的芯片,其中该多个垂直电源线非均匀地设置,因此在该子区域内,相邻的垂直电源线的间距不一定相同。
所述的芯片,更包含多个水平电源线,设于第一上金属层,该多个垂直电源线设于第二上金属层,其位于该多个水平电源线底下。
所述的芯片,其中该多个水平电源线平均等距设置。
所述的芯片,其中至少两个子区域具有不同数目的垂直电源线。
所述的芯片,其中至少两个子区域具有不同的总电源绕线宽度。
根据本发明另一实施例,电源规划方法包含以下步骤。(a)提供一芯片,其上设有多个宏。(b)根据宏的位置,将芯片的设置区域划分为多个子区域。(c)对于每一子区域,决定垂直电源线的总电源绕线宽度。(d)对于每一子区域,将总电源绕线宽度除以有效电源线宽度,以得到垂直电源线的数目。(e)对于每一子区域,决定垂直电源线的位置。
所述的电源规划方法,其中该步骤(b)包含:对于每一宏,将靠近该宏上缘的水平电源线予以延伸直到碰到另一宏或该设置区域的边界,因而得到第一水平线;对于每一宏,将靠近该宏下缘的水平电源线予以延伸直到碰到另一宏或该设置区域的边界,因而得到第二水平线;对于每一宏,将该宏左缘予以延伸直到碰到另一宏或该设置区域的边界,因而得到第一垂直线;对于每一宏,将该宏右缘予以延伸直到碰到另一宏或该设置区域的边界,因而得到第二垂直线;其中该多个宏的该第一水平线、该第二水平线、该第一垂直线与该第二垂直线将该设置区域划分为该多个子区域。
所述的电源规划方法,其中如果该子区域涵盖的垂直电源线少于默认值,则将该子区域合并至左侧子区域,假设该左侧子区域存在且这两个子区域具相同高度;否则,将该子区域合并至右侧子区域,假设该右侧子区域存在且这二个子区域具相同高度。
所述的电源规划方法,其中该垂直电源线非均匀地设置,因此相邻的垂直电源线的间距不一定相同。
所述的电源规划方法,其中至少一个垂直电源线与相邻上面或下面子区域的垂直电源线不互相对齐。
附图说明
图1显示本发明实施例的可绕度为导向宏认知的电源规划方法的流程图。
图2A至图2E显示默认有宏的芯片的俯视示意图。
图3例示接触窗数组占用的轨道的示意图。
图4A显示子区域的绕线区域。
图4B例示每一子区域的拥塞惩罚值。
图5A显示传统设计的俯视示意图,其多个宏具有不同的引脚型态。
图5B与图5C显示本发明实施例的俯视示意图。
【主要元件符号说明】
110:电源规划方法
11:根据宏的位置将设置区域划分为子区域
12:合并子区域
13:决定每一子区域的垂直电源线的总电源绕线宽度
14:决定每一子区域的垂直电源线的数目
15:决定每一子区域的垂直电源线的位置
200:芯片
21:宏
22:设置区域
41:宏
42:引脚
SR:子区域
TPRW:总电源绕线宽度
VPS:垂直电源线
HPS:水平电源线
Wv:接触窗的宽度
Δv2v:接触窗之间的最短距离
Δv2b:接触窗与覆盖区域的边界的最短距离
WArxs:覆盖区域的宽度
We:有效电源线宽度
t:砖
g:格
e:边
δ:惩罚值
具体实施方式
图1显示本发明实施例的可绕度为导向(routability-driven)宏认知(macro-aware)的电源规划(power planning)方法(以下简称电源规划方法)110的流程图。
于步骤11,根据宏的位置,将芯片(chip)的设置区域(placement region)划分为多个子区域(sub-region,SR)。在本说明书中,芯片(或微芯片)是指集成电路,其包含电子电路,例如智能财产核(IP core)或宏,设置于半导体(例如硅)基板上。图2A显示芯片200的俯视示意图,(斜线区域的)宏21默认于设置区域22。
如图2A所示,对于每一宏21,将靠近(但不需重叠)宏21上缘的水平电源线(horizontal power stripe,HPS)予以延伸直到碰到另一宏21或设置区域22的边界,因而得到第一水平线。类似的情形,将靠近(但不需重叠)宏21下缘的水平电源线(HPS)予以延伸直到碰到另一宏21或设置区域22的边界,因而得到第二水平线。
如图2B所示,将宏21左缘予以延伸直到碰到另一宏21或设置区域22的边界,因而得到第一垂直线。类似的情形,将宏21右缘予以延伸直到碰到另一宏21或设置区域22的边界,因而得到第二垂直线。借此,第一水平线、第二水平线、第一垂直线与第二垂直线将芯片200的设置区域22划分为多个子区域SR。如图2B所例示,实线表示(且定义)这些子区域SR的边缘。因此,任何默认宏21,无论其为何种引脚(pin)型态,皆可被水平电源线(HPS)与下述的垂直电源线(vertical power stripe,VPS)围绕。此外,根据本实施例,每一子区域SR可独立执行其电源划分,因而可大量增加电源划分的弹性。在本实施例中,水平电源线(HPS)设于第一上金属层,且垂直电源线(VPS)设于第二上金属层,其位于水平电源线底下且互相电性绝缘。
接着,于步骤12,至少一个子区域SR与相邻子区域SR合并(merge)。图2C显示图2A的芯片200的俯视示意图,其中实线表示合并后的子区域SR的边缘,而虚线则表示合并前的子区域SR的边缘。借此,一些具有小面积(例如小于默认值)的子区域合并至相邻子区域SR,以形成较大子区域SR。
在一实施例中,先执行垂直合并,再执行水平合并。其中,每一子区域SR依序进行查对。如果子区域SR涵盖的水平电源线少于默认值(例如二条),则将子区域SR合并至下面(lower)子区域SR(假设该下面子区域SR存在且这二个子区域SR具相同宽度)。否则,将子区域SR合并至上面(higher)子区域SR(假设该上面子区域SR存在且这二个子区域SR具相同宽度)。类似的情形,如果子区域SR涵盖的垂直电源线少于默认值(例如五条),则将子区域SR合并至左侧子区域SR(假设该左侧子区域SR存在且这二个子区域SR具相同高度)。否则,将子区域SR合并至右侧子区域SR(假设该右侧子区域SR存在且这二个子区域SR具相同高度)。
于步骤13,对于每一子区域SR,决定垂直电源线的总电源绕线宽度(total powerrouting width,TPRW),使得最小绕线区域可符合压降与电子迁移(electromigration)条件。图2D显示图2A的芯片200的俯视示意图,其中点区域分别表示每一子区域SR的垂直电源线的总电源绕线宽度TPRW。在本实施例中,使用谭(X.-D.Tan)等人所提出的优化估算(optimization sizing)算法以决定垂直电源线的总电源绕线宽度TPRW。在本实施例中,水平电源线的宽度为固定,且水平电源线平均等距设置。优化估算算法的细节可参考谭(X.-D.Tan)等人所提出的“借由依序线性规划的超大规模集成电路的电源/接地的可靠度区域优化(Reliability-Constrained Area Optimization of VLSI Power/Ground NetworksVia Sequence of Linear Programmings)”,刊于设计自动化会刊(Proceedings of DAC),第78~83页,2003年,其内容视为本说明书的一部份。
接着,于步骤14,决定每一子区域SR的垂直电源线的数目。在本实施例中,首先决定有效电源线宽度(effective stripe width,ESW)。接着,将(步骤13的)总电源绕线宽度TPRW除以有效电源线宽度(ESW),即可得到子区域SR当中的垂直电源线的数目。
根据张(W.-H.Chang)等人所提出的“使用铝垫层的多层电源网络的实用可绕度为导向的设计流程(Practical Routability-Driven Design Flow for Multilayer PowerNetworks Using Aluminum-Pad Layer)”,刊于电机电子工程师学会超大规模集成电路会刊(IEEE TVLSI),第22册,第5号,第1069~1081页,2013年6月,其内容视为本说明书的一部份,非冗余(irredundant)电源线宽度wp可表示为T的函数:
wp(T)=(1+T)×p-(2×Δ(w)+Wmin) (1)
其中T代表电源线所包含的轨道(track)数目,p为间距宽度,Δ(w)为二线之间的最小间隔,且Wmin为最小金属宽度。
若wv代表接触窗(via contact)的宽度,Δv2v代表二接触窗之间的最短距离,Δv2b代表接触窗与覆盖区域的边界的最短距离。对于大小为rxs的接触窗数组Arxs,覆盖区域的宽度可表示为:
Figure BDA0001762192670000051
图3例示接触窗数组占用的轨道的示意图,该接触窗数组是用以连接电源网络(mesh)的不同层的金属。Arxs占用的绕线轨道的数目T(Arxs)可表示如下:
Figure BDA0001762192670000052
其中
Figure BDA0001762192670000053
表示顶函数(ceiling function),其输出值为大于或等于输入值的最小整数。
将式(3)带入式(1),可得到有效电源线宽度(ESW)we如下:
Figure BDA0001762192670000054
如前所述,将(步骤13的)总电源绕线宽度TPRW除以(式(4)的)有效电源线宽度(ESW),即可得到子区域SR当中的垂直电源线的数目。
最后,于步骤15,决定每一子区域SR的垂直电源线VPS的位置。图2E显示图2A的芯片200的俯视示意图,其中交叉斜线区域表示每一子区域SR的垂直电源线VPS。在本实施例中,使用前述张等人所提出的动态规划算法以决定每一子区域SR的垂直电源线VPS的位置。不同于张等人所提算法是应用于整个芯片,本实施例则是应用算法于每一子区域SR,因此使得本实施例的电源线设置较张的应用更为弹性。根据本实施例的特征之一,垂直电源线VPS并非均匀地设置(虽然具有相同的有效电源线宽度),因此一般来说,于子区域SR内,相邻垂直电源线VPS的间距各不一定相同。因此,本实施例的子区域(或合并的子区域)称为不规则区域,然而位于宏21的区域则称为规则区域。此外,由于各子区域SR是个别决定垂直电源线VPS的设置,因此根据本实施例的另一特征,至少一个垂直电源线VPS与上面或下面子区域SR的垂直电源线VPS不互相对齐。换句话说,至少一个垂直电源线VPS于垂直方向的相邻子区域SR的边界处为不连续。
图4A显示子区域SR的绕线区域,划分为n砖(tile),其中tj代表第j行的砖,每一砖包含m格(grid),其中gi,j代表tj的第i格。ei,j代表格gi,j的水平顶边。Ci,j代表边ei,j的拥塞(congestion)值,其中C=di,j/ci,j,ci,j与di,j分别代表边ei,j的绕线容量(routingcapacity)与绕线要求(routing demand)(其相关于通过ei,j的网络数目)。若δj代表于砖tj设置垂直电源线的惩罚值(penalty)或拥塞成本,可表示如下:
Figure BDA0001762192670000061
其中
Figure BDA0001762192670000062
Figure BDA0001762192670000063
其中
Figure BDA0001762192670000064
代表Ci,j的平均值,σ代表标准偏差。
图4B例示每一子区域SR1与SR2的拥塞惩罚值δj,据以设置垂直电源线VPS于子区域SR1与SR2。例如,对于子区域SR1,δ1=(1/3)(100x(1/5)+(2/5)+(3/5))=7,δ2=(1/3)((2/5)+(2/5)+(2/5))=0.4。对于子区域SR2,δ2=(1/2)(100x(2/3)+(1/3))=33.5。
根据上述实施例,本实施例提出一种基于列的电源网络(row-based powermesh),根据宏21的位置将芯片200划分为多个子区域SR。本实施例不但可促进宏21的电源/接地连接,且因为垂直电源线的设置具有较大弹性,因而可增进可绕性。由于传统电源网络的垂直电源线延伸于整个芯片,因而浪费了许多绕线资源于连接这些宏。图5A显示传统设计的俯视示意图,其多个宏41具有不同的引脚(pin)42型态。在这个例子中,至少需七个垂直电源线以连接电源网络。图5B与图5C显示本发明实施例的俯视示意图。由于水平电源线(HPS)已分布于上层,本实施例可根据默认宏41的位置以划分芯片为多列,如图5B所示。接着,每一子区域的垂直电源线VPS的位置可独立规划。因此,本实施例可使用较少的垂直电源线VPS以完成电源网络,如图5C所示。此外,由于每一子区域的电源线可独立调整,因而相较于传统使用较长(垂直)电源线,本实施例可以轻易地避开绕线拥塞区域。
根据上述,本实施例也提出一种方法以有效决定适当的绕线宽度。上述张等人所提出的非冗余(irredundant)电源线宽度,根据绕线所占用绕线轨道的数目,以决定电源线宽度。本实施例将此概念予以延伸,考虑接触窗数组以决定电源线宽度。接触窗数组通常设于水平电源线与电源线之间的覆盖区域,用以将降低阻抗并增进电源网络的可靠度。接触窗数组的大小有很多的选择,较大的数组产生较低阻抗。由于电源线宽度受制于接触窗数组,因此当本实施例决定电源线的宽度时,必须考虑接触窗数组的大小。
值得注意的是,本实施例是于设置(placement)阶段完成后才开始进行电源规划的。根据功率消耗与绕线拥塞的信息,因而得以设计较佳的电源/接地电源网络。本实施例提出精确成本函数,当设置电源线于某个位置时,可决定相关惩罚值(或拥塞成本)。根据基于列的电源网络与较佳成本函数,本实施例于设置电源线时可轻易地避开绕线拥塞区域。
以上所述,仅是本发明的较佳实施例而已,并非对本发明作任何形式上的限制,虽然本发明已以较佳实施例揭露如上,然而并非用以限定本发明,任何熟悉本专业的技术人员,在不脱离本发明技术方案范围内,当可利用上述揭示的技术内容作出些许更动或修饰为等同变化的等效实施例,但凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化与修饰,均仍属于本发明技术方案的范围内。

Claims (9)

1.一种芯片,其特征在于,包含:
基板;
多个宏,设于该基板上,其具有设置区域,根据该多个宏的位置将该设置区域划分为多个子区域;
一或多个垂直电源线,设于每一个该子区域;
其中至少一个垂直电源线与相邻上面或下面子区域的垂直电源线不互相对齐;及
多个水平电源线,设于第一上金属层,该多个垂直电源线设于第二上金属层,其位于该多个水平电源线底下。
2.根据权利要求1所述的芯片,其特征在于,其中该多个垂直电源线非均匀地设置,因此在该子区域内,相邻的垂直电源线的间距不一定相同。
3.根据权利要求1所述的芯片,其特征在于,其中该多个水平电源线平均等距设置。
4.根据权利要求1所述的芯片,其特征在于,其中至少两个子区域具有不同数目的垂直电源线。
5.根据权利要求1所述的芯片,其特征在于,其中至少两个子区域具有不同的总电源绕线宽度。
6.一种电源规划方法,其特征在于,包含:
(a)提供一芯片,其上设有多个宏;
(b)根据该多个宏的位置,将该芯片的设置区域划分为多个子区域;
(c)对于每一子区域,决定垂直电源线的总电源绕线宽度;
(d)对于每一子区域,将该总电源绕线宽度除以有效电源线宽度,以得到该垂直电源线的数目;及
(e)对于每一子区域,决定该垂直电源线的位置;
其中该步骤(b)包含:
对于每一宏,将靠近该宏上缘的水平电源线予以延伸直到碰到另一宏或该设置区域的边界,因而得到第一水平线;
对于每一宏,将靠近该宏下缘的水平电源线予以延伸直到碰到另一宏或该设置区域的边界,因而得到第二水平线;
对于每一宏,将该宏左缘予以延伸直到碰到另一宏或该设置区域的边界,因而得到第一垂直线;
对于每一宏,将该宏右缘予以延伸直到碰到另一宏或该设置区域的边界,因而得到第二垂直线;
其中该多个宏的该第一水平线、该第二水平线、该第一垂直线与该第二垂直线将该设置区域划分为该多个子区域。
7.根据权利要求6所述的电源规划方法,其特征在于,其中如果该子区域涵盖的垂直电源线少于默认值,则将该子区域合并至左侧子区域,假设该左侧子区域存在且这两个子区域具相同高度;否则,将该子区域合并至右侧子区域,假设该右侧子区域存在且这二个子区域具相同高度。
8.根据权利要求6所述的电源规划方法,其特征在于,其中该垂直电源线非均匀地设置,因此相邻的垂直电源线的间距不一定相同。
9.根据权利要求6所述的电源规划方法,其特征在于,其中至少一个垂直电源线与相邻上面或下面子区域的垂直电源线不互相对齐。
CN201810912654.XA 2018-08-10 2018-08-10 芯片与电源规划方法 Active CN110858587B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810912654.XA CN110858587B (zh) 2018-08-10 2018-08-10 芯片与电源规划方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810912654.XA CN110858587B (zh) 2018-08-10 2018-08-10 芯片与电源规划方法

Publications (2)

Publication Number Publication Date
CN110858587A CN110858587A (zh) 2020-03-03
CN110858587B true CN110858587B (zh) 2023-03-14

Family

ID=69634814

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810912654.XA Active CN110858587B (zh) 2018-08-10 2018-08-10 芯片与电源规划方法

Country Status (1)

Country Link
CN (1) CN110858587B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1547257A (zh) * 2003-12-12 2004-11-17 上海交通大学 电子芯片偏置风扇轴线式散热器
JP2006210963A (ja) * 2006-05-11 2006-08-10 Matsushita Electric Ind Co Ltd 半導体記憶装置
CN101761591A (zh) * 2010-01-01 2010-06-30 韩凤琳 滚柱型电磁离合器
CN106783775A (zh) * 2016-12-23 2017-05-31 深圳市紫光同创电子有限公司 一种三维集成电路芯片及其电源布线方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100667597B1 (ko) * 2005-02-07 2007-01-11 삼성전자주식회사 매크로 셀의 전원 라인 배치 구조 및 매크로 셀과 파워매시의 결합 구조

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1547257A (zh) * 2003-12-12 2004-11-17 上海交通大学 电子芯片偏置风扇轴线式散热器
JP2006210963A (ja) * 2006-05-11 2006-08-10 Matsushita Electric Ind Co Ltd 半導体記憶装置
CN101761591A (zh) * 2010-01-01 2010-06-30 韩凤琳 滚柱型电磁离合器
CN106783775A (zh) * 2016-12-23 2017-05-31 深圳市紫光同创电子有限公司 一种三维集成电路芯片及其电源布线方法

Also Published As

Publication number Publication date
CN110858587A (zh) 2020-03-03

Similar Documents

Publication Publication Date Title
US9910950B2 (en) Methods for cell phasing and placement in dynamic array architecture and implementation of the same
EP3542286B1 (en) Adaptive multi-tier power distribution grids for integrated circuits
JP3461443B2 (ja) 半導体装置、半導体装置の設計方法、記録媒体および半導体装置の設計支援装置
US6951007B2 (en) Wire layout design apparatus and method for integrated circuits
US6560753B2 (en) Integrated circuit having tap cells and a method for positioning tap cells in an integrated circuit
US6671865B1 (en) High density input output
US6651236B2 (en) Semiconductor integrated circuit device, and method of placement and routing for such device
CN110858587B (zh) 芯片与电源规划方法
JP4929437B2 (ja) 集積回路の配線レイアウト方法
US11048850B2 (en) Chip and power planning method
TWI683230B (zh) 晶片與電源規劃方法
US5872719A (en) Method of wiring semiconductor integrated circuit and semiconductor integrated circuit
JP2004104039A (ja) 集積回路の自動配置配線設計方法、その自動配置配線設計装置、その自動配置配線設計システム、制御プログラムおよび可読記録媒体
EP3327594A1 (en) Methods for cell phasing and placement in dynamic array architecture and implementation of the same
JP2003318260A (ja) 半導体集積回路の配線方法
JPS60158645A (ja) 半導体集積回路の配線方式
JP2003282713A (ja) 半導体装置、半導体装置の設計方法、記録媒体および半導体装置の設計支援装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant