CN110824853B - 遮罩及其制造方法和使用方法 - Google Patents

遮罩及其制造方法和使用方法 Download PDF

Info

Publication number
CN110824853B
CN110824853B CN201910319701.4A CN201910319701A CN110824853B CN 110824853 B CN110824853 B CN 110824853B CN 201910319701 A CN201910319701 A CN 201910319701A CN 110824853 B CN110824853 B CN 110824853B
Authority
CN
China
Prior art keywords
mask
layer
magnetic film
magnetic
multilayer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910319701.4A
Other languages
English (en)
Other versions
CN110824853A (zh
Inventor
廖啟宏
廖主玮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110824853A publication Critical patent/CN110824853A/zh
Application granted granted Critical
Publication of CN110824853B publication Critical patent/CN110824853B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一种遮罩及其制造方法和使用方法。遮罩的使用方法方法包括将遮罩夹持在遮罩台上,其中遮罩包括多层磁膜;通过使用遮罩来执行第一微影制程;使遮罩移动远离遮罩台;以及确认多层薄膜的表面层的表面状况是否可接受;以及当表面层的表面状况被确认为不可接受时自多层磁膜剥离多层磁膜的表面层。

Description

遮罩及其制造方法和使用方法
技术领域
本揭露是关于一种遮罩及其制造方法和使用方法。
背景技术
半导体集成电路(integrated circuit;IC)工业已经历指数增长。IC材料及设计中的技术进步已产生了几代IC,其中每代具有比前一代更小且更复杂的电路。在IC进化中,功能密度(亦即,每晶片面积的互连元件的数目)通常已增加,而几何尺寸(亦即,可使用制造制程产生的最小部件(或接线))已减小。此按比例缩小制程通常通过增大生产效率及降低相关联的成本来提供益处。此按比例缩小亦增加了IC处理及制造的复杂性。为了实现这些进步,需要IC处理及制造中的类似发展。举例而言,对执行较高解析度的微影制程的需要增长了。一种微影技术为极紫外线微影(EUVL)。其他技术包括X-Ray微影术、离子束投影微影术、电子束投影微影术,及多电子束无遮罩微影术。
EUVL采用使用具有约1至100nm波长的极紫外线(EUV)区域的光的扫描器。一些EUV扫描器提供4倍缩小投影列印,类似于一些光学扫描器,除了EUV扫描器使用反射光学元件而非折射光学元件,亦即,镜子代替了透镜。EUV扫描器通过转移由吸收层限定的遮罩图案提供晶圆上的所需图案。目前,在EUVL中采用伴随轴上照明(ONI)的二元强度遮罩(BIM)。为了实现未来节点(例如,具有32nm及22nm的最小间距的节点等)的充足的空间图像对比度,已开发了例如衰减相移式遮罩(AttPSM)及交变相移式遮罩(AltPSM)的若干技术,以获得EUVL的解析度增强。但每一技术具有其需要克服的局限性。举例而言,然而吸收层可能不会完全吸收入射光且入射光的部分自吸收层反射。吸收层的厚度亦导致阴影效应。所有这些情况时常导致降低的空间图像对比度,此可导致差的图案轮廓及差的解析度,尤其是当图案特征的大小继续减小时。需要在此领域中进行改良。
发明内容
在本揭示案的一些实施例中,一种方法包括将遮罩夹持在遮罩台上,其中遮罩包括多层磁膜;通过遮罩来执行第一微影制程;将遮罩自遮罩台移开;以及确认多层薄膜的表面层的表面状况是否可接受;以及当表面层的表面状况被确认为不可接受时自多层磁膜剥离多层磁膜的表面层。
在本揭示案的一些实施例中,一种方法包括将第一磁层附接至基板上;将第二磁层附接至第一磁层上;在基板上形成反射性多层;在反射性多层上形成吸收层;以及图案化吸收层。
在本揭示案的一些实施例中,一种遮罩包括基板、多层磁膜、反射性多层,及经图案化的吸收层。多层磁膜安置于基板上,其中多层磁膜包括多个磁层。基板在多层磁膜与反射性多层之间。反射性多层在经图案化的吸收层与基板之间。
附图说明
当结合附图进行阅读时得以自以下详细描述最佳地理解本揭露的态样。应注意,根据工业上的标准实务,各种特征并未按比例绘制。实际上,为了论述清楚可任意地增大或减小各种特征的尺寸。
图1至图9为根据本揭露的一些实施例的处于各种阶段的用于制造遮罩的方法的横截面图;
图10为根据本揭露的一些实施例的用于操作遮罩的方法;
图11至图16为根据本揭露的一些实施例的处于操作的各种阶段的遮罩。
具体实施方式
以下揭示内容提供用于实施所提供标的的不同特征的许多不同实施例或实例。以下描述部件及布置的特定实例以简化本揭示案。当然,此等仅为实例且并不意欲为限定性的。举例而言,在如下描述中第一特征在第二特征上方或在第二特征上形成可包括第一特征及第二特征形成为直接接触的实施例,且亦可包括额外特征可在第一特征及第二特征之间形成而使得第一特征及第二特征可不直接接触的实施例。另外,本揭露可在各种实例中重复参考数字及/或字母。此重复是出于简化及清楚目的,且其本身并不指示所论述的各种实施例及/或配置之间的关系。
另外,为了描述简单起见,可在本文中使用诸如“在……之下”、“低于”、“下部”、“在……上方”、“上部”以及其类似术语的空间相对术语,以描述如诸图中所图示的一个元件或特征与另一(其他)元件或特征的关系。除了诸图中所描绘的定向以外,这些空间相对术语亦意欲涵盖在使用中或操作中设备的不同定向。装置可以其他方式定向(旋转90度或在其他定向上),且可同样相应地解释本文中所使用的空间相对描述词。
本揭露中所描述的先进微影制程、方法及材料可用于许多应用中,包括鳍型场效应晶体管(FinFET)。举例而言,可图案化鳍以产生特征之间的相对紧密的间隔,以上揭示内容非常适合于此。另外,可根据以上揭示内容来处理在形成鳍型场效应晶体管的鳍中所使用的间隔。
图1至图9为根据本揭示案的一些实施例的处于各种阶段的用于制造遮罩的方法的横截面图。以下描述涉及遮罩及遮罩制造制程。遮罩制造制程包括两个步骤:空白遮罩制造制程及遮罩图案化制程。在空白遮罩制造制程期间,通过将合适层(例如,多个反射层)沉积在合适基板上来形成空白遮罩。在遮罩图案化制程期间图案化空白遮罩以便具有集成电路(IC)元件(或晶片)的层的设计。接着使用经图案化遮罩将电路图案(例如,IC元件的层的设计)转印至半导体晶圆上。可经由各种微影制程将图案一遍又一遍地转印至多个晶圆上。可使用若干遮罩(例如,15至30个遮罩的集合)来构造完整的IC元件。
参考图1。接收基板100。在一些实施例中,基板100可由低热膨胀材料(lowthermal expansion material;LTEM)制成。在一些实施例中,低热膨胀材料可包括石英、硅、碳化硅及氧化硅-氧化钛化合物。或者,低热膨胀材料可包括TiO2掺杂的SiO2,及/或本领域中已知的其他低热膨胀材料。在操作期间,低热膨胀材料基板100用以减少归因于遮罩加热的图像变形。在一些实施例中,低热膨胀材料基板100包括具有低缺陷水准及光滑表面的材料。
参考图2A至图2C。多层磁膜110形成于低热膨胀材料基板100的第一侧100A上。举例而言,第一侧100A为基板100的背侧。在一些实施例中,多层磁膜110包括交替堆叠的多个磁层111。多层磁膜110的磁性导致多层磁膜110与遮罩台(未图示)之间的强化附接。在一些实施例中,多层磁膜110为伸展释放膜,且多层薄膜110的膜111具有强保持力以及清洁移除且无表面损坏。亦即,可自磁膜110的剩余层111干净地剥离表面层111而不损坏剩余层111的表面。因此,各磁层111彼此可卸除或释放。稍后将描述细节。在一些实施例中,磁层111为磁带(或磁条)。
可通过将磁层111逐层堆叠于基板100的第一侧100A上来形成多层磁膜110(参考图2A)。举例而言,最初将磁层111附接至基板100。接下来,接着将另一磁层111附接至先前磁层111。重复此处理直至多层磁膜110达到所需厚度为止。在一些实施例中,每一磁层111的厚度在约10μm至约20μm的范围中。多层磁膜110的厚度在约50μm至约100μm的范围中。若多层磁膜的厚度大于100μm,则当被夹持于卡盘上时其将影响平整度均匀性。在一些实施例中,磁层111的数目为5或6。若磁层111的数目小于5,则其将无法提供足够的产率。若磁层111的数目大于6,则当剥离最顶磁层111时其将影响下方磁层111的平整度。
参考图2B。图示了多层磁膜110的实例。在一些实施例中,多层磁膜110包括多个磁层112,其中磁层112中的每一者包括磁性粘合层1120、基底层1122及释放层1124。磁性粘合层1120及释放层1124分别被涂布于基底层1122的相对侧上。如上所述,磁层112中的每一者彼此堆叠,使得磁层112的磁性粘合层1120连接至另一磁层112的释放层1124,从而使得在磁层112彼此连接之后容易将磁层112展开。以此方式,多层磁膜110形成为伸展释放膜,因为多层磁膜110的磁层112具有强保持力以及清洁移除且无表面损坏。亦即,可在不损坏另一磁层112的表面的情况下自另一磁层112的表面干净地移除磁层112。在一些实施例中,基底层1122由塑胶、橡胶或树脂材料与可磁化材料的混合物制成。在一些实施例中,释放层1124可包含聚硅氧释放组合物及微胶囊化材料的胶囊,此微胶囊化材料的胶囊可通过将微胶囊化材料与聚硅氧释放组合物混合来制备。
在一些实施例中,磁性粘合层1120包括磁性填料P1且因此具有顺磁性材料的性质。因此,当电被施加至电磁结构(例如,图11中的遮罩台210)时,在磁性粘合层1120与电磁结构之间产生了吸引力,从而将多层磁膜110夹持在电磁结构上。在一些实施例中,磁性填料P1可包括顺磁性材料,诸如,钽(Ta)、铌(Nb)及钒(V)。顺磁性是由材料中的不成对电子引起的,因此具有不完全填充的原子轨道的原子为顺磁性的。归因于自旋,不成对电子具有磁偶极矩,且因此如磁体一样起作用。当施加外部磁场时,磁场使电子的自旋与场平行对准,从而导致净吸引力。另一方面,若移除外部磁场,则顺磁性材料的吸引力将消失。因此,若多层磁膜110包含顺磁性材料,则可通过控制外部磁场将其附接至电磁结构或自电磁结构上拆下。若多层磁膜110包含铁磁材料,则铁磁性材料的永磁性可导致将多层磁膜110自电磁结构拆下的难度增加。
参考图2C。图示了多层磁膜110的实例。在一些实施例中,多层磁膜110包括多个磁层114,其中磁层114中的每一者包括粘合层1140、磁性基底层1142及释放层1144。粘合层1140及释放层1144分别被涂布于磁性基底层1142的相对侧上。如上所述,磁层114中的每一者彼此堆叠,使得磁层114的粘合层1140连接至另一磁层114的释放层1144,从而使得在磁层114彼此连接之后容易将磁层114展开。以此方式,多层磁膜110形成为伸展释放膜,因为多层磁膜110的磁层114具有强保持力以及清洁移除且无表面损坏。亦即,可在不损坏另一磁层114的表面的情况下自另一磁层114的表面干净地移除磁层114。在一些实施例中,磁性基底层1142由塑胶、橡胶或树脂材料与可磁化材料的混合物制成。在一些实施例中,释放层1144可包括聚硅氧释放组合物及微胶囊化材料的胶囊,此微胶囊化材料的胶囊可通过将微胶囊化材料与聚硅氧释放组合物混合来制备。
在一些实施例中,磁性基底层1142包括磁性填料P2且因此具有顺磁性材料的性质。因此,当电被施加至电磁结构(例如,图11中的遮罩台210)时,在磁性基底层1142与电磁结构之间产生了吸引力,从而将多层磁膜110夹持在电磁结构上。在一些实施例中,磁性填料P2可包括顺磁性材料,诸如钽(Ta)、铌(Nb)及钒(V)。
参考图3。反射性多层(muitilayer;ML)120形成于基板100的第二侧100B上。根据菲涅尔等式,当光在两种不同折射率的材料之间的界面上传播时,发生光反射。当折射率差较大时,光反射较大。为了增加光反射,亦可通过形成多层交替材料来增加界面的数目,且通过为多层内的每个层选择合适厚度而使得自不同界面反射的光相长干涉。然而,多层所用的材料的吸收限制了可达成的最高发射率。反射性多层120包括多个膜对,诸如钼-硅(Mo/Si)膜对(例如,在每一膜对中钼层在硅层上方或下方)。或者,反射性多层120可包括钼-铍(Mo/Be)膜对,或具有大折射率差及小消光系数的任何两种材料或材料组合。反射性多层120的每一层的厚度取决于入射光的波长及遮罩上的入射角。对于指定入射角,调整反射性多层120的厚度以达成对在反射性多层120的不同界面处反射的光的最大相长干涉。在一些实施例中,反射性多层120的膜对的数目在约20至约80的范围中,然而,任何数目的膜对皆为可能的。在一些实施例中,反射性多层120包括四十对Mo/Si层。每一Mo/Si膜对具有约7nm的厚度,例如Mo为3nm且Si为4nm。在本揭示案的一些实施例中,可达成约70%的反射率。
将封盖层130安置在反射性多层120上。在所描绘的实施例中,封盖层130可包括含硅材料,诸如硅。举例而言,封盖层130为反射性多层120的最顶Mo/Si膜对的硅层。封盖层130可防止例如在遮罩的处理期间反射性多层120的氧化。封盖层130可因此包括防止反射性多层120氧化的除含硅材料以外的材料。在一些实施例中,封盖层130具有约4nm至约7nm的厚度。
将缓冲层140安置在封盖层130上。缓冲层140包含在遮罩的处理期间(例如,在遮罩的吸收层的蚀刻期间)保护反射性多层120的材料。在所描绘的实施例中,缓冲层140包含诸如Ru、RuNb、RuZr、RuMo、RuY、RuB、RuTi、RuLa的含钌材料、其他含钌材料,或其组合。或者,缓冲层140包含诸如Cr、CrN、CrO、CrC、CrON、CrCN、CrOC、CrOCN的含铬材料、其他含铬材料,或其组合。在又一替代实施例中,缓冲层140包含除含钌材料及含铬材料以外的材料。缓冲层140可包含含钌材料、含铬材料及其他材料的组合,例如在缓冲层140包括多个层的情况下。在一个实例中,缓冲层140具有约2nm至约5nm的厚度。应注意,在替代实施例中,封盖层130及缓冲层140可为单一层。
将吸收层150安置在缓冲层140上。吸收层150包括经设计以吸收投射至遮罩上的辐射类型/波长范围中的辐射的一或多个层。在所描绘的实施例中,吸收层150的一或多个层经设计以吸收EUV辐射。此一或多个层包含各种材料,诸如含钽材料(例如,Ta、TaN、TaNH、TaHF、TaHfN、TaBSi、TaB-SiN、TaB、TaBN、TaSi、TaSiN、TaGe、TaGeN、TaZr、TaZrN、其他含钽材料或其组合)、含铬材料(例如,Cr、CrN、CrO、CrC、CrON、CrCN、CrOC、CrOCN、其他含铬材料或其组合)、含钛材料(例如,Ti、TiN、其他含钛材料或其组合)、其他合适材料,或其组合。选择一或多个层的配置(诸如,一或多个层的材料组成)以在遮罩100的制造期间提供制程灵活性。举例而言,吸收层150的一或多个层的蚀刻特性提供了制程灵活性,此可减少制造时间及成本。
根据以上描述,可通过各种方法形成反射性多层120、封盖层130、缓冲层140及吸收层150中的一或多者,包括物理气相沉积(PVD)制程,诸如蒸发及DC磁控溅射;镀敷制程,诸如无电镀敷或电镀;化学气相沉积(CVD)制程,诸如常压CVD(APCVD)、低压CVD(LPCVD)、电浆增强CVD(PECVD)或高密度电浆CVD(HDP CVD);离子束沉积;旋涂;金属有机分解(MOD);及/或其他合适方法。
参考图4。第一光阻剂层M1形成于吸收层150上。在一些实施例中,第一光阻剂层M1可为雷射束抗蚀剂,且可通过诸如旋涂的合适方法形成光阻剂层。接着,基于接收到的设计布局来图案化第一光阻剂层M1。在一些实施例中,使用电子束(e-beam)直写机来图案化第一光阻剂层M1。可与电子束写入结合使用或作为电子束写入的替代的其他图案化技术包括离子束写入以及遮罩及无遮罩光微影。另外,可使用任何其他合适的图案化技术。对光阻剂层进行图案化可包括软烘烤、遮罩对准、曝光、曝光后烘烤、使光阻剂显影、清洗、干燥(例如,硬烘烤)、其他合适制程及/或其组合。
参考图5。对吸收层150进行图案化。在形成第一光阻剂层M1的一些实施例中,使用第一光阻剂层M1作为遮罩来图案化吸收层150以在其中形成多个凹槽R1。此制程可称作图案转印,因为第一光阻剂层M1的图案被转印至吸收层150。在一些实施例中,对吸收层150进行图案化包括一或多个蚀刻制程以选择性地移除吸收层150的部分直至缓冲层140被曝光为止。蚀刻制程可包括干蚀刻、湿法蚀刻,及/或其他蚀刻方法。
参考图6。自吸收层150剥离第一光阻剂层M1(参见图7)。
参考图7。第二光阻剂层M2形成于吸收层150上。第二光阻剂层M2可为正型抗蚀剂或负型抗蚀剂。第二光阻剂层M2可为电子束抗蚀剂。接着,使用包括电子束(e-beam)写入、离子束写入、光微影及/或无遮罩光微影的合适方法来图案化第二光阻剂层M2。图案化第二光阻剂层M2可进一步包括软烘烤、遮罩对准、曝光、曝光后烘烤、使光阻剂显影、清洗、干燥(例如,硬烘烤)、其他合适制程及/或其组合。
参考图8。图案化吸收层150、缓冲层140、封盖层130及反射性多层120以在其中形成多个凹槽R2。在一些实施例中,使用第二光阻剂层M2来图案化吸收层150、缓冲层140、封盖层130及反射性多层120直至基板100被曝光为止。此制程可称作图案转印。可以经由多步蚀刻制程或单步蚀刻制程来图案化吸收层150、缓冲层140、封盖层130及反射性多层120。在例示性单步蚀刻制程中,使用诸如CF4、SF6、NF3及/或任何其他合适气体的含氟气体化学物质执行干蚀刻。在一些其他实施例中,可控制图案化制程以使得凹槽R2可延伸至反射性多层120中但不曝光基板100。
参考图9。自吸收层150剥离第二光阻剂层M2(参见图10)。因此,形成遮罩200。在一些实施例中,遮罩200包括遮罩图像区域152及围绕遮罩图像区域152的黑色边界区域154。在操作期间,遮罩200用以将遮罩图像区域152的图案转印至晶圆。举例而言,在曝光制程期间,光(辐射)投影至遮罩200上,且光的部分被透射至晶圆,借以将遮罩图像区域152的图案转印至晶圆。
在一些实施例中,遮罩200的遮罩图像区域152由形成于吸收层150中的凹槽R1限定,其中凹槽R1使缓冲层140的顶表面曝光。另一方面,遮罩200的黑色边界区域154由形成在吸收层150、缓冲层140、封盖层130及反射性多层120中的凹槽R2限定。在图9中所图示的一些实施例中,凹槽R2延伸至反射性多层120中且使基板100的顶表面曝光。亦即,凹槽R2比凹槽R1深。
在遮罩的曝光制程期间,曝光的光可泄漏至邻近区域。此光泄漏可归因于光衍射现象、遮罩相对于晶圆的位置精度、遮罩相对于曝光工具的位置精度、其他现象,或其组合。举例而言,光泄漏可由遮罩相对于曝光工具(诸如,步进器或扫描器)的位置精度引起。举例而言,对于每一曝光制程而言,曝光工具限定遮罩200的部分以在此部分上进行曝光。曝光工具的曝光狭缝(在一个实例中,由曝光工具的刀片限定)可以限定遮罩200的将曝光的部分。理想地,光使遮罩200的遮罩图像区域152曝光。然而,通常曝光狭缝使遮罩200的在遮罩图像区域152之外的区域曝光。在本揭示案的一些实施例中,遮罩200的遮罩黑色边界区域154表示遮罩200的在遮罩图像区域152外部的区域,此区域将被曝光(换言之,遮罩200的在遮罩图像区域外部的未被曝光工具覆盖的部分)。在此,遮罩200的遮罩黑色边界区域154邻近遮罩图像区域152。因为遮罩200的遮罩黑色边界区域154在曝光制程期间曝光,所以遮罩黑色边界区域154非期望地将光的部分透射至晶圆,导致一些区域接收双重曝光且场的角落接收四重曝光。为了弥补此光泄漏,使遮罩200的遮罩黑色边界区域154的反射率最小化以减少此非期望曝光。在一个实例中,遮罩200的遮罩黑色边界区域154处的反射率小于或等于约0.3%。举例而言,遮罩黑色边界区域154的轮廓经配置以允许辐射经由凹槽R2透射至遮罩200的更深的结构,诸如基板100。在一些实施例中,凹槽R2使由低热膨胀材料制成的基板100曝光。因为低热膨胀材料具有可吸收入射辐射的性质,所以黑色边界区域154的反射率可以相应地降低。
在图1至图9中所图示的一些实施例中,在形成反射性多层120之前在基板100上形成多层磁膜110。然而,在一些其他实施例中,在形成反射性多层120、封盖层130,缓冲层140及吸收层150之后,可在基板100上形成多层磁膜110。
图10为根据本揭示案的一些实施例的用于操作遮罩的方法。图11至图13为根据本揭露的一些实施例的处于操作的各种阶段的遮罩。
参考图10及图11。方法1000开始于操作1002,此处将遮罩夹持在遮罩台上。如图11中所图示,将遮罩200夹持在微影系统(例如,图12中的微影系统20)的遮罩台210上。遮罩200与图1至图9中所述的彼些遮罩相同,且因此为了简化起见而省略相关结构细节。遮罩台210经配置以紧固遮罩200,其中遮罩200的多层磁膜110连接至遮罩台210的表面。
在一些实施例中,遮罩台210包括静电卡盘(e-chuck)以紧固遮罩200。在半导体制造制程中,遮罩台210的静电卡盘可用以保持遮罩200以进行处理微影制程。静电卡盘在真空处理环境中有用,在真空处理环境中没有足够的压差来使用真空卡盘保持基板。在一些实施例中,静电卡盘包括由适用于被紧固在处理腔室中的支撑件支撑的静电构件。静电构件包括电绝缘的电极。电连接器将电极电连接至处理腔室中的电压供应源。如图2A至图2C中所提及,多层磁膜110可包括磁性填料且因此具有顺磁性材料的性质。因而,当电极相对于保持在遮罩台210上的遮罩200电偏置时,在多层磁膜110与遮罩台210之间产生吸引力,以便将遮罩200夹持在遮罩台210上。
参考图10及图12。方法1000进行至操作1004,此处执行微影制程。在图12中,图示了微影系统20。微影系统20亦可统称作扫描器,其可操作以使用各别辐射源及曝光模式来执行微影曝光制程。在一些实施例中,微影系统20为经设计以通过EUV光来曝光抗蚀剂层的极紫外线(EUV)微影系统。抗蚀剂层为对EUV光敏感的材料。EUV微影系统20采用辐射源212产生EUV光,诸如波长范围在约1nm与约100nm之间的EUV光。举例而言,辐射源212产生具有以约13.5nm为中心的波长的EUV光。因此,辐射源212亦称作EUV辐射源212。微影系统20亦包括经配置以紧固遮罩200的遮罩台210,如图11中所描述。
微影系统20亦采用照明器214。在各种实施例中,照明器214包括各种折射光学部件,诸如单个透镜或具有多个透镜(区板)的透镜系统,或者反射性光学元件(用于EUV微影系统),诸如单个镜子或具有多个镜子的镜子系统,以便将来自辐射源212的光导向至遮罩台210上,尤其是导向至紧固于遮罩台210上的遮罩200。在辐射源212产生在EUV波长范围中的光的本实施例中,照明器214采用反射性光学元件。在一些实施例中,照明器214包括偶极照明部件。
在一些实施例中,照明器214可操作以配置镜子以便为遮罩200提供适当照明。在一个实例中,照明器214的镜子可切换以将EUV光反射至不同照明位置。在一些实施例中,在照明器214之前的台可另外包括其他可切换镜子,其可控制以使用照明器214的镜子将EUV光导向至不同照明位置。在一些实施例中,照明器214经配置以为遮罩200提供轴上照明(ONI)。在一个实例中,采用具有至多为0.3的部分相干σ的圆盘照明器214。在一些其他实施例中,照明器214经配置以为遮罩200提供轴外照明(OAI)。在一个实例中,照明器214为偶极照明器。在一些实施例中,偶极照明器具有至多为0.3的部分相干σ。
微影系统20亦包括投影光学模块(或投影光学盒(projection optics box;POB))220以将遮罩200的图案成像至紧固于微影系统20的基板台228上的半导体晶圆226上。在各种实施例中,投影光学盒220具有折射性光学元件(诸如,用于UV微影系统)或者反射性光学元件(诸如,用于EUV微影系统)。由投影光学盒220收集自遮罩200导向的光,此光携带在遮罩上限定的图案的图像。照明器214及投影光学盒220共同称作微影系统20的光学模块。
微影系统20亦包括光瞳相位调制器222以调制自遮罩200导向的光的光学相位,使得光具有在投影光瞳平面224上的相位分布。在光学模块中,存在具有对应于物件(在此情形下为遮罩200)的傅立叶变换的场分布的平面。此平面称作投影光瞳平面。光瞳相位调制器222提供调制光在投影光瞳平面224上的光学相位的机构。在一些实施例中,瞳孔相位调制器222包括调制投影光学盒220的反射镜以进行相位调制的机构。举例而言,投影光学盒220的镜子可切换且经控制以反射EUV光,借以调制经过投影光学盒220的光的相位。
在一些实施例中,光瞳相位调制器222利用置放于投影光瞳平面上的光瞳滤光器。光瞳滤光器过滤掉来自遮罩210的EUV光的特定空间频率分量。特定而言,光瞳滤光器为相位光瞳滤光器,其用以调制被导向经过投影光学盒220的光的相位分布。然而,利用相位光瞳滤光器在一些微影系统(诸如,EUV微影系统)中受限制,因为所有材料皆吸收EUV光。
如上所述,微影系统20亦包括基板台228以紧固待图案化的半导体晶圆226,诸如半导体基板。在一些实施例中,半导体晶圆为半导体基板,诸如,硅基板或其他类型的基板。半导体晶圆226涂布有对诸如本实施例中的EUV光的辐射束敏感的抗蚀剂层。通过操作微影系统20以曝光涂布在晶圆226上的抗蚀剂层来执行微影制程。当已曝光的抗蚀剂层进一步显影时,各种开口形成于抗蚀剂层中,导致经图案化的抗蚀剂层。随后使用经图案化的抗蚀剂层来蚀刻晶圆226,以便在晶圆226上形成具有设计的IC图案的材料层,借以在其中或其上形成用于集成电路的特征。可逐层地重复此制程从而在晶圆226上形成多个材料层。
包括上述的彼些部件的各种部件整合在一起且可操作以执行微影曝光制程。微影系统20可进一步包括其他模块或与其他模块整合在一起(或可与其他模块耦接)。
参考图10。方法1000进行至操作1006,此处在执行了微影制程之后自遮罩台移除遮罩。在一些实施例中,若遮罩200可重复使用,则遮罩200可自遮罩台210被移除且可被储存直至意欲执行另一微影制程为止。
应注意,当遮罩200随后移动至遮罩台210或自遮罩台210移开时,微粒可存在于遮罩200的界面与遮罩台210之间。微粒可能划伤或凿刻遮罩200的表面并最终导致表面破裂以及磨损遮罩200的表面的平坦度。举例而言,在长时间使用之后,连接至遮罩台210的遮罩200的多层磁膜110的表面可能被磨损,使得多层磁膜110的最外磁层111的表面(亦即,表面层)可具有呈现于其上的污染,诸如,划痕、簇、凹痕或微粒。这些缺陷可不利地影响遮罩200与遮罩台210之间的附接,且因此影响光微影制程的所得图案。
在将执行另一微影制程之前,方法1000通过将遮罩移动至检查系统并检查遮罩的多层磁膜的表面状况而进行至操作1008。参考图13,图示了微影系统20、检查系统30及清洁系统40,其中微影系统20类似于图12中所描述的微影系统20或与的相同。在使用微影系统20执行微影制程之后,将遮罩200自微影系统20移动至检查系统30。其后,使用检查系统30来检查遮罩200的多层磁膜110的表面状况。举例而言,检查遮罩200的多层磁膜110的最外磁层111,以确认最外磁层111上的微粒的数目是否超过预定值。
在图14中图示一个例示性的遮罩检查系统。例示性遮罩检查系统30包括通道302,其包括显微镜物镜304、光瞳滤光器306、投影光学系统(例如,投影透镜)308,及侦测器310。自光源320产生的辐射(例如,雷射)束312照射遮罩200的最外磁层111。光瞳滤光器306用以阻挡由于遮罩200的磁层111的图案造成的光散射。处理单元316可用以基于遮罩200的磁层111的图案来控制光瞳滤光器306的滤光。因此,滤光器306经提供作为在相对于遮罩200的磁层111的光瞳平面内的空间滤光器且与遮罩200的磁层111的图案相关联,以便过滤掉来自被散射辐射的辐射。侦测器310侦测由投影光学系统308透射的辐射的小部分以侦测磁层111上的微粒。因此,处理单元316基于自侦测器310产生的侦测结果来计数微粒的数目。在一些实施例中,处理单元316为电脑中的中心处理单元(CPU)或其类似者。
返回参考图10,方法1000进行至操作1010,确认遮罩的多层磁膜的表面状况是否可接受。举例而言,处理单元316可确认微粒数目的已计数数目是否超过阈值。阈值可为储存于与处理单元316相关联的记忆体中的预定资料。若微粒数目的已计数数目不超过阈值,则可将多层磁膜的表面状况确认为可接受的。相反,若微粒数目的已计数数目超过阈值,则可将多层磁膜的表面状况确认为不可接受的。
若微粒的已计数数目不超过阈值(亦即,多层磁膜110的最外磁层111的表面状况被确认为可接受的),则方法1000接着返回至操作1002并继续进行操作1002至1008。亦即,将遮罩200移动回微影系统20且夹持在遮罩台210上,且接着执行另一微影制程。在执行此微影制程之后,自遮罩台210移除遮罩200。制程细节类似于图10至图12中所描述的彼些细节。
然而,若微粒的已计数数目超过阈值(亦即,多层磁膜110的最外磁层111的表面状况被确认为不可接受的),则方法1000进行到操作1012,将遮罩移动至清洁系统并清洁遮罩。参考图13,将遮罩200自检查系统30移动至清洁系统40,且接着清洁遮罩200。举例而言,在图15中,图示了清洁系统40的实例。清洁系统40包括具有气体出口的清洗装置410。在一些实施例中,气体出口经定向而朝向遮罩200的最外磁层111的表面,清洗装置410的气体出口可因此朝向遮罩200提供气体,以便将微粒吹离遮罩200。在一些其他实施例中,清洁可包括使用洗涤器执行的清洁制程。举例而言,洗涤器可为刷子洗涤器,其包括用以自遮罩200的最外磁层111的表面移除微粒的鬃刷(例如,尼龙鬃刷)。
在清洁遮罩200的最外磁层111的表面之后,方法1000进行至操作1014,将遮罩移动至检查系统并检查遮罩的多层磁膜的表面状况。举例而言,可将遮罩200从清洁系统40移动返回至检查系统30。其后,遮罩200经历如先前所述的使用检查系统30的检查制程。举例而言,检查系统30可对遮罩200上的微粒数目进行计数。操作1014类似于操作1008,且为了简要起见不再重复操作1014的详细描述。
接着,方法1000进行至操作1016,确认遮罩的多层磁膜的表面状况是否可接受。举例而言,检查系统可确认微粒的已计数数目是否超过阈值。操作1016类似于操作1010,且为了简要起见不再重复操作1016的详细描述。
若微粒的已计数数目不超过阈值(亦即,多层磁膜110的最外磁层111的表面状况被确认为可接受的),则方法1000接着返回至操作1002并继续进行操作1002至1008。亦即,将遮罩200移动回微影系统20且夹持在遮罩台210上,且接着执行另一微影制程。在执行此微影制程之后,自遮罩台210移除遮罩200。制程细节类似于图10至图12中所描述的彼些细节。
然而,若微粒的已计数数目超过阈值(亦即,多层磁膜110的最外磁层111的表面状况仍被确认为不可接受的),则方法1000进行到操作1018,此处遮罩的多层磁膜的表面层被剥离,如以下参考图16更详细地论述。
参考图16。如图2A至图2C中所提及,图2A的磁层111(或图2A及图2C中的磁层112及114)为伸展释放膜。亦即,可在不损坏下一磁层111的表面的情况下自下一磁层111的表面干净地移除最外磁层111。在一些实施例中,可手动地将最外磁层111剥离。在移除最外磁层111之后,后续磁层111的表面曝光。因为在先前制程期间此曝光表面受已移除的磁层111保护,所以曝光表面大体上新且平坦。
接着,可相应地执行操作1002至1004。亦即,将更新的遮罩200夹持在遮罩台210上,且执行另一微影制程。在此微影制程之后,自遮罩台210移除遮罩200。制程细节类似于图10至图13中所描述的彼些细节。如前所述,通过移除已磨损的磁层111来更新遮罩200。以此方式,遮罩200的寿命可延长,且进一步节省了遮罩成本。另外,因为遮罩200可经更新以具有平坦表面,所以可改良调平控制,此继而将促进制程效能。
根据前述实施例,可见,本揭示案提供了制造半导体元件方面的优势。然而,应理解,其他实施例可提供额外优势,本文中未必揭示了所有优势,且无特定优势对所有实施例而言为必需。一个优势在于,多层磁膜形成于遮罩的基板上,且因此可自遮罩移除多层磁膜的已磨损膜,借以延长遮罩的寿命并节省遮罩成本。此外,可通过移除已磨损膜以曝光后续膜的平坦表面来更新遮罩,所以可改良调平控制,此继而将促进制程效能。
在本揭示案的一些实施例中,一种方法包括将遮罩夹持在遮罩台上,其中遮罩包括多层磁膜;通过遮罩来执行第一微影制程;将遮罩自遮罩台移开;以及确认多层薄膜的表面层的表面状况是否可接受;以及当表面层的表面状况被确认为不可接受时自多层磁膜剥离多层磁膜的表面层。
在部分实施例中,此方法进一步包含在剥离多层磁膜的表面层之后,将遮罩夹回遮罩台;以及在将遮罩夹回遮罩台之后,执行第二微影制程。
在部分实施例中,其中执行剥离多层磁膜的表面层以使得多层磁膜的另一层的平坦表面曝露;以及将遮罩夹回遮罩台包含将多层磁膜的另一层的平坦表面连接至遮罩台。
在部分实施例中,此方法进一步包含当表面层的表面状况可接受时,将该遮罩夹回遮罩台;以及在将遮罩夹回遮罩台之后,执行第二微影制程。
在部分实施例中,其中剥离多层磁膜的表面层是手动地执行。
在部分实施例中,其中将遮罩夹持在遮罩台上包含在遮罩台的静电卡盘与遮罩一多层磁膜的磁性材料之间产生吸引力。
在部分实施例中,其中剥离多层磁膜的表面层包含自多层磁膜的另一层的释放层卸除多层磁膜的表面层的粘合层。
在本揭示案的一些实施例中,一种方法包括将第一磁层附接至基板上;将第二磁层附接至第一磁层上;在基板上形成反射性多层;在反射性多层上形成吸收层;以及图案化吸收层。
在部分实施例中,其中在图案化该吸收层之后,第一磁层及第二磁层保持大体完整。
在部分实施例中,其中该基板包含第一侧及与第一侧相对的第二侧,且膜形成于第一侧上,且反射性多层及吸收层形成于第二侧上。
在部分实施例中,其中第一磁层及第二磁性材料是由同一材料形成。
在部分实施例中,其中第一磁层经由由第一磁层的粘合层所提供的粘合力附接至基板。
在部分实施例中,其中将第二磁层附接于第一磁层上包含将第二磁层的粘合层连接至第一磁层的释放层。
在部分实施例中,此方法进一步包含图案化吸收层及反射性多层以在其中形成多个凹槽,其中凹槽曝露基板。
在本揭示案的一些实施例中,一种遮罩包括基板、多层磁膜、反射性多层,及经图案化的吸收层。多层磁膜安置于基板上,其中多层磁膜包括多个磁层。基板在多层磁膜与反射性多层之间。反射性多层在经图案化的吸收层与基板之间。
在部分实施例中,其中磁层为可卸除的。
在部分实施例中,其中磁层中的每一者包含粘合层、基底层及释放层,且粘合层及释放层安置于基底层的相对侧上。
在部分实施例中,其中磁层中的一者的粘合层连接至磁层的另一者的释放层。
在部分实施例中,其中膜的粘合层包含磁层材料。
在部分实施例中,其中膜的基底层包含磁层材料。
前文概述了若干实施例的特征,使得熟悉此项技术者可较佳理解本揭露的态样。熟悉此项技术者应了解,他们可容易地使用本揭露作为设计或修改用于实现相同目的及/或达成本文中所介绍的实施例的相同优势的其他制程及结构的基础。熟悉此项技术者亦应认识到,此等等效构造不脱离本揭露的精神及范畴,且他们可在不脱离本揭露的精神及范畴的情况下对本文进行各种改变、代替及替换。

Claims (7)

1.一种遮罩的使用方法,其特征在于,包含:
将一遮罩夹持在一遮罩台上,其中该遮罩包含一多层磁膜,其中该多层磁膜为伸展释放膜;
通过该遮罩来执行一第一微影制程;
将该遮罩自该遮罩台移开;
确认该多层磁膜的一表面层的一表面状况是否可接受;以及
当该表面层的该表面状况被确认为不可接受时自该多层磁膜剥离该多层磁膜的该表面层。
2.根据权利要求1所述的方法,其特征在于,进一步包含:
在剥离该多层磁膜的该表面层之后,将该遮罩夹回该遮罩台;以及
在将该遮罩夹回该遮罩台之后,执行一第二微影制程。
3.根据权利要求2所述的方法,其特征在于,其中:
执行剥离该多层磁膜的该表面层以使得该多层磁膜的另一层的一平坦表面曝露;以及
将该遮罩夹回该遮罩台包含将该多层磁膜的该另一层的该平坦表面连接至该遮罩台。
4.根据权利要求1所述的方法,其特征在于,进一步包含当该表面层的该表面状况可接受时,将该遮罩夹回该遮罩台;以及
在将该遮罩夹回该遮罩台之后,执行一第二微影制程。
5.根据权利要求1所述的方法,其特征在于,其中剥离该多层磁膜的该表面层是手动地执行。
6.根据权利要求1所述的方法,其特征在于,其中将该遮罩夹持在该遮罩台上包含在该遮罩台的一静电卡盘与该遮罩的一多层磁膜的一磁性材料之间产生一吸引力。
7.根据权利要求1所述的方法,其特征在于,其中剥离该多层磁膜的该表面层包含自该多层磁膜的另一层的一释放层卸除该多层磁膜的该表面层的一粘合层。
CN201910319701.4A 2018-08-14 2019-04-19 遮罩及其制造方法和使用方法 Active CN110824853B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862718395P 2018-08-14 2018-08-14
US62/718,395 2018-08-14
US16/212,442 US11137675B2 (en) 2018-08-14 2018-12-06 Mask and method for forming the same
US16/212,442 2018-12-06

Publications (2)

Publication Number Publication Date
CN110824853A CN110824853A (zh) 2020-02-21
CN110824853B true CN110824853B (zh) 2021-10-26

Family

ID=69524017

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910319701.4A Active CN110824853B (zh) 2018-08-14 2019-04-19 遮罩及其制造方法和使用方法

Country Status (3)

Country Link
US (1) US11137675B2 (zh)
CN (1) CN110824853B (zh)
TW (1) TWI703403B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220121101A1 (en) * 2020-10-16 2022-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Absorber materials for extreme ultraviolet mask

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100774048B1 (ko) * 2000-08-15 2007-11-06 가부시키가이샤 히타치세이사쿠쇼 포토마스크의 제조방법, 포토마스크 블랭크의 제조방법 및포토마스크의 재생방법
US7407729B2 (en) * 2004-08-05 2008-08-05 Infineon Technologies Ag EUV magnetic contrast lithography mask and manufacture thereof
US20120307218A1 (en) * 2011-05-30 2012-12-06 Takashi Kamo Method of correcting defects in a reflection-type mask and mask-defect correction apparatus
CN103529640A (zh) * 2012-07-05 2014-01-22 台湾积体电路制造股份有限公司 掩模及其形成方法
CN103579531A (zh) * 2012-08-03 2014-02-12 西安文景光电科技有限公司 在聚合物基材表面形成可以剥离的弹性体掩模板的方法
CN105220110A (zh) * 2015-10-20 2016-01-06 昆山允升吉光电科技有限公司 一种蒸镀用复合磁性掩模板的制作方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6249932B1 (en) * 1999-01-29 2001-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for removing fine particles
US20060130886A1 (en) * 2004-12-22 2006-06-22 Taiyo Nippon Sanso Corporation Method and apparatus for manufacturing cleaning material and cleaning system using the same
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8962224B2 (en) 2012-08-13 2015-02-24 Applied Materials, Inc. Methods for controlling defects for extreme ultraviolet lithography (EUVL) photomask substrate
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
JP6816132B2 (ja) 2015-10-01 2021-01-20 インテヴァック インコーポレイテッド 基板製造のためのウエハプレートおよびマスク器具
US20190369483A1 (en) 2017-01-17 2019-12-05 Hoya Corporation Substrate with conductive film, substrate with multilayer reflective film, reflective mask blank, reflective mask and method for manufacturing semiconductor device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100774048B1 (ko) * 2000-08-15 2007-11-06 가부시키가이샤 히타치세이사쿠쇼 포토마스크의 제조방법, 포토마스크 블랭크의 제조방법 및포토마스크의 재생방법
US7407729B2 (en) * 2004-08-05 2008-08-05 Infineon Technologies Ag EUV magnetic contrast lithography mask and manufacture thereof
US20120307218A1 (en) * 2011-05-30 2012-12-06 Takashi Kamo Method of correcting defects in a reflection-type mask and mask-defect correction apparatus
CN103529640A (zh) * 2012-07-05 2014-01-22 台湾积体电路制造股份有限公司 掩模及其形成方法
CN103579531A (zh) * 2012-08-03 2014-02-12 西安文景光电科技有限公司 在聚合物基材表面形成可以剥离的弹性体掩模板的方法
CN105220110A (zh) * 2015-10-20 2016-01-06 昆山允升吉光电科技有限公司 一种蒸镀用复合磁性掩模板的制作方法

Also Published As

Publication number Publication date
CN110824853A (zh) 2020-02-21
US20200057365A1 (en) 2020-02-20
TWI703403B (zh) 2020-09-01
TW202009596A (zh) 2020-03-01
US11137675B2 (en) 2021-10-05

Similar Documents

Publication Publication Date Title
US9618837B2 (en) Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9760015B2 (en) Extreme ultraviolet lithography process
US9116435B2 (en) Extreme ultraviolet lithography mask
US8785084B2 (en) Method for mask fabrication and repair
US11740547B2 (en) Method of manufacturing extreme ultraviolet mask with reduced wafer neighboring effect
US11086215B2 (en) Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
KR101722855B1 (ko) Euv 마스크용 펠리클 및 그 제조
US11442356B2 (en) Lithography mask with an amorphous capping layer
TW201944167A (zh) 半導體裝置的製造方法
US9304390B2 (en) Extreme ultraviolet lithography process and mask
CN110824853B (zh) 遮罩及其制造方法和使用方法
TWI820754B (zh) 用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法
TWI787966B (zh) 極紫外光遮罩與其形成方法
US11137672B2 (en) Mask and method for forming the same
US9690186B2 (en) Extreme ultraviolet lithography process and mask
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
US11119398B2 (en) EUV photo masks
CN110874008A (zh) 掩模及其制造方法及图案化膜层的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant