CN110660652A - 半导体装置的图案化方法 - Google Patents

半导体装置的图案化方法 Download PDF

Info

Publication number
CN110660652A
CN110660652A CN201910243370.0A CN201910243370A CN110660652A CN 110660652 A CN110660652 A CN 110660652A CN 201910243370 A CN201910243370 A CN 201910243370A CN 110660652 A CN110660652 A CN 110660652A
Authority
CN
China
Prior art keywords
layer
mandrels
patterning
spacers
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910243370.0A
Other languages
English (en)
Other versions
CN110660652B (zh
Inventor
苏怡年
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110660652A publication Critical patent/CN110660652A/zh
Application granted granted Critical
Publication of CN110660652B publication Critical patent/CN110660652B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

披露一种半导体装置的图案化方法,在一些实施例中,包括图案化氧化锡层以定义多个心轴(mandrels)在一目标层上;沉积间隔层在多个心轴的上方及沿着多个心轴的侧壁;以及图案化间隔层以在多个心轴的侧壁上提供多个间隔物。本方法还包括在图案化间隔层之后,去除多个心轴。本方法还包括在去除多个心轴之后,使用多个间隔物图案化目标层。

Description

半导体装置的图案化方法
技术领域
本申请涉及一种半导体装置的图案化方法,且特别涉及一种使用心轴层图案化目标层的方法。
背景技术
随着半导体装置持续地微缩化,各种工艺技术(例如光刻)也被改良以用来制造尺寸越来越小的装置。举例来说,随着栅极密度的增加,在装置上各种部件的工艺(如上方的内连线部件)也必须跟着改良以与整体装置部件的微缩化相容。然而,随着半导体工艺有更小的工艺宽裕度,这些装置的制造已经接近甚至超越光刻设备的理论限制。随着半导体装置继续缩小,装置中元件间的间距(例如节距),比使用传统光学掩模以及光刻设备所能制造的节距还要小。
发明内容
本发明提供一种半导体装置的图案化方法,其包含图案化氧化锡层以定义在目标层上的多个心轴;沉积间隔层沿着多个心轴的侧壁和心轴的上方;图案化间隔层以在多个心轴的侧壁上提供多个间隔物;在图案化间隔层之后,移除多个心轴;在移除多个心轴之后,使用多个间隔物图案化目标层;以及在移除多个心轴之后,使用多个间隔物图案化目标层。
本发明还提供一种半导体装置的图案化方法,其包含蚀刻材料层以在硬掩模层之上定义多个心轴,其中蚀刻材料层包含使用第一蚀刻气体,其包含氢气作为第一蚀刻气体的反应成分;形成多个间隔物于多个心轴的侧壁;移除多个心轴以定义多个间隔物间的多个开口;使用多个间隔物作为掩模以图案化硬掩模层;以及使用硬掩模层作为掩模以图案化目标层。在一实施例中,材料层包括氧化锡。
本发明还提供一种半导体装置的图案化方法,其包含使用光刻胶作为光掩模,在氧化锡层中蚀刻出多个开口;在蚀刻出那些开口之后,使用氧等离子体去除至少一部分的光刻胶,其中去除一部分的光刻胶将氧化锡层暴露于氧等离子体;沉积间隔层沿着多个开口的侧壁及底部表面;去除间隔层的横向部分而留下间隔层位于多个开口侧壁的部分;在去除横向部分的间隔层之后,使用第一含氢反应气体选择性地去除氧化锡层;以及在去除氧化锡层之后,使用剩下部分的间隔层作为掩模以蚀刻硬掩模层。
附图说明
通过以下的详细描述配合附图,可以更加理解本公开实施例的内容。需强调的是,根据产业上的标准惯例,许多部件(feature)并未按照比例绘制。事实上,为了能清楚地讨论,各种部件的尺寸可能被任意地放大或缩小。
图1至图10示出根据各种实施例,在制造半导体装置的过程中,各种中间阶段的剖面示意图。
附图标记说明:
100~半导体装置;
102~目标层;
104~半导体基底;
106~介电质层;
108~硬掩模层;
110~可选的介电质层;
112~心轴层;
114~底层;
116~中层;
118~上层;
120~三层光刻胶;
122~开口;
124~心轴;
126~间隔层;
128~间隔物;
140~在目标层的开口;
142~衬层;
144~导电材料;
P1~开口的节距;
P2~间隔物的节距;
W1~开口的宽度;
W2~心轴的宽度。
具体实施方式
以下内容提供了很多不同的实施例或范例,用于实现本公开实施例的不同部件。组件和配置的具体实施例或范例描述如下,以简化本公开实施例。当然,这些仅仅是范例,并非用以限定本发明实施例。举例来说,元件尺寸并未限于所公开的范围或数值,而可取决于工艺条件及/或装置期望的特性。再者,叙述中若提及第一部件形成于第二部件之上,可能包含形成第一和第二部件直接接触的实施例,也可能包含额外的部件形成于第一和第二部件之间,使得第一和第二部件不直接接触的实施例。另外,本发明实施例可能在许多范例中重复元件符号及/或字母。这些重复是为了简化和清楚的目的,其本身并非代表所讨论各种实施例及/或配置之间有特定的关系。
再者,此处可能使用空间上的相关用语,例如“在……之下”、“在……下方”、“下方的”、“在……上方”、“上方的”和其他类似的用语可用于此,以便描述如图所示的一元件或部件与其他元件或部件之间的关系。此空间上的相关用语除了包含图式绘示的方位外,也包含使用或操作中的装置的不同方位。当装置被转至其他方位时(旋转90度或其他方位),则在此所使用的空间相对描述可同样依旋转后的方位来解读。
各种实施例的叙述是关于特定的图案化工艺,即自对准双重图案化(Self-Aligned Double Patterning,SADP)工艺,其图案化心轴,沿着心轴的侧壁形成间隔物、以及移除心轴后剩下的间隔物以定义出具有心轴的一半节距的图案。然而,各种实施例可关于其他图案化工艺,例如自对准四重图案化(Self-Aligned Quadruple Patterning,SAQP)工艺等等。
根据一些实施例提供了半导体装置和方法。尤其是,在半导体装置上执行自对准双重图案化技术工艺以图案化部件(如半导体鳍、栅极结构、导线等等)。图案化部件的节距至少为使用光刻工艺(photolithographic process)可达到的最小节距的一半。在各种实施例中,氧化锡(SnO)是用来作为心轴的材料,其使用作为图案化掩模的光刻胶图案化心轴(例如,光刻胶可实现的最小节距)。在一些实施例中,使用氧等离子体剥离工艺可移除光刻胶。已观察到在去光刻胶工艺的期间,使用SnO心轴可抗氧化。通过防止心轴材料产生不想要的氧化,可减少制造缺陷。
接着,在心轴的侧壁上形成间隔物,并且选择性地移除心轴。以心轴的一半节距形成间隔物,因此,使用作为掩模的间隔物所图案化的部件,其具有比心轴更精细的节距。SnO心轴的另一个优点是相对于其他材料(例如,间隔物、下层材料等等),具有高蚀刻选择性,且当图案化间隔物及/或选择性地移除心轴时,更能改善图案化可靠性。因此,可提高制造精细节距的半导体结构的生产率(yield)。
根据一些实施例,图1至图10示出在半导体装置100的目标层102上,形成部件的过程中各个中间阶段的剖面示意图。根据本公开的实施例,目标层102是形成多个图案的膜层。在一些实施例中,半导体装置100以较大晶圆的一部分被处理。在这些实施例中,在半导体装置100上形成各种部件(例如,有源元件、内连线结构等等)之后,切割工艺可适用于切割晶圆上切割区域以区分单独的半导体芯片和晶圆(也称为切割(singulation))。
在一些实施例中,目标层102是金属间介电(inter-metal dielectric,IMD)层。在这些实施例中,目标层102包含低介电常数材料,其具有一介电常数(k值)例如小于3.8、或小于3.0、或小于2.5。在替代实施例中,目标层102是IMD层,其包含高介电常数材料,其k值大于3.8。可依照下列叙述,利用实施例工艺在目标层102图案化开口,并且在开口中形成导线及/或导孔。
在一些实施例中,目标层102是半导体基底。半导体基底可由半导体材料(如硅、硅锗等等)形成。在一些实施例中,半导体基底是晶体半导体基底,例如晶体硅基底、晶体硅碳基底、晶体硅锗基底、III-V化合物半导体基底等等。通过实施例工艺可图案化半导体基底,且可使用后续工艺步骤以在半导体基底中形成浅沟槽隔离(shallow trench isolation,STI)区域。半导体鳍可从形成的STI区域间突出。源极/漏极区域可由半导体鳍形成,且栅极介电质和电极层可形成于鳍片的通道区域之上,借以形成半导体装置如鳍式场效应晶体管(fin field effect transistors,finFETs)。
在一些实施例中,目标层102是毯覆式沉积(blanket deposit)的导电层,如金属层或多晶硅层。实施例的图案化工艺可应用于目标层102,以图案化finFET的半导体栅极及/或闲置栅极。通过使用实施例工艺以图案化导电目标层102,可减少邻近栅极间的间距以及增加栅极密度。
在图1中,在半导体装置100上形成包含目标层102的膜层堆叠。在一些实施例中,在半导体基底104上可形成目标层102。半导体基底104可由半导体材料如掺杂或未掺杂的硅或绝缘体上的半导体(Semiconductor-On-Insulator,SOI)基底的有源层形成。半导体基底104可包含其他半导体材料如锗;化合物半导体包含碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟;合金半导体包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP或其组合。也可使用其他基底,如多层或梯度基底。元件(如晶体管、二极管、电容、电阻等等)可在半导体基底104的有源层中及/或上形成。用来形成finFETs的半导体基底的目标层102的其他实施例中,可省略半导体基底104。
尽管图1示出目标层102物理接触半导体基底104,但可配置任何数量的中间层(intervening layer),于目标层102和半导体基底104之间。这种中间层可包含层间介电质(inter-layer dielectric,ILD)层,其包含低介电常数和具有形成于低介电常数中的接触插塞,或其中形成有导线及/或导孔的其他IMD层、一个或多个中间层(如蚀刻停止层、粘合层等等)或其组合等等。举例来说,可选的(optional)蚀刻停止层可直接配置于目标层102之下。蚀刻停止层可作为后续作用在目标层102的蚀刻工艺的停止。用来形成蚀刻停止层的材料和工艺可取决于目标层102的材料。在一些实施例中,蚀刻停止层可由氮化硅、SiON、SiCON、SiC、SiOC、SiCxNy、SiOx、其他介电质或其组合等等形成,并且可由等离子体化学气相沉积(Plasma Enhanced Chemical Vapor Deposition,PECVD)、低压CVD(Low PressureCVD,LPCVD)、等离子体气相沉积等等形成。
膜层堆叠还包含在目标层102上形成的可选的介电层106。在一些实施例中,介电层106可由SiON、碳化硅、掺杂氧(O)和氮(N)的材料等等形成。介电层106可由等离子体化学气相沉积(PECVD)、高密度等离子体(High-Density Plasma,HDP)沉积等等形成。介电层106为可选的膜层,并且可以被排除,例如,当实施例中的硬掩模层108(详述于后)相对于目标层102,可被选择性地蚀刻时。
膜层堆叠还包含在(anti-reflective coating,ARC)ARC 106上形成的硬掩模层108。硬掩模层108可由包含金属(如氮化钛、钛、氮化钽、钽、掺杂金属的碳化物(如碳化钨)等等)、类金属(metalloid)(如氮化硅、氮化硼、碳化硅等等)、氧化物(如四乙氧基硅烷(tetraethylorthosilicate,TEOS)等等)、硅等材料形成,并且可由物理气相沉积(Physical Vapor Deposition,PVD)、射频PVD(Radio Frequency PVD,REPVD)、原子层沉积(Atomic Layer Deposition,ALD)等方式形成。在后续的工艺步骤中,使用实施例图案化工艺在硬掩模层108上形成图案。硬掩模层108接着用作蚀刻掩模以蚀刻目标层102,其将硬掩模层108的图案转移到目标层102上。
膜层堆叠还包含形成在硬掩模层108上的可选的介电层110。介电层110可由氧化硅形成,如硼磷硅酸盐四乙氧基硅烷(borophosphosilicate tetraethylorthosilicate,BPTEOS)或未掺杂的四乙氧基硅烷(TEOS)氧化物,且可由CVD、ALD、旋转涂布等等形成。在一些实施例中,介电层110用作蚀刻停止层,以图案化后续形成的心轴及/或间隔物(如参见图4的心轴124以及图6的间隔物128)。在一些实施例中,介电层110也用作抗反射涂层。在一些实施例中,硬掩模层108和介电层110具有不同材料组成,使得每个硬掩模层108和介电层110选择性地被蚀刻。即使图1示出介电层110配置于硬掩模层108上方,在其他实施例中,介电层110可配置于硬掩模层108下方。
膜层堆叠还包含在第一介电硬掩模层108上形成的心轴112。第一心轴层112包含SnO,其有下列叙述的各种优点。在一些实施例中,第一心轴层112中,锡和氧的原子比可大约为1:2(如SnO2)。在其他实施例中,可使用不同的锡和氧的原子比。可使用任何适合的工艺沉积心轴层112,如ALD、CVD、PVD等等。在一些实施例中,在沉积期间Sn(CH3)4和O2作为前驱物,且可在约1℃至约200℃的温度和1Torr至约10Torr的压力下进行沉积。在一些实施例中,第一心轴层的厚度可大约在20nm至100nm的范围内,如大约50nm。
在心轴层112上方的膜层堆叠上形成三层光刻胶120(tri-layer photoresist)。三层光刻胶120包含底层114(bottom layer)、在底层114上方的中层116(middle layer)、在中层116上方的上层118(upper layer)。底层114和上层118可由光刻胶(如感光材料)形成,其包含有机材料。在一些实施例中,底层114也可为底部抗反射(bottom anti-reflective coating,BARC)层。中间层116可包含无机材料,其可为氮化物(如氮化硅)、氮氧化物(如氮氧化硅)、氧化物(如氧化硅)等等。中间层116相对于上层118及底层114具有高蚀刻选择性。三层光刻胶120中的各层可后续使用如旋转工艺的毯覆式沉积。尽管本公开讨论三层光刻胶120,但在其他实施例中,光刻胶120可为单层或双层(如包含仅有底层114和上层118而不包含中层116)光刻胶。所使用的光刻胶类型(如单层、双层或三层)可取决于用于图案化心轴层112的光刻工艺。举例来说,在先进的极紫外光(extreme ultraviolet,EUV)光刻工艺中,可使用单层或双层光刻胶120。
在一些实施例中,使用光刻工艺来图案化上层118。接着,上层118作为蚀刻掩模图案化中层116(参照图2)。中层116后续作为蚀刻掩模以图案化底层114,且使用底层114图案化心轴层112(参照图3和图4)。已观察到使用三层光刻胶(如三层光刻胶120)蚀刻目标层(如心轴层112),可实现改善精细节距图案的定义(如心轴层112)。
在本公开中,可使用任何适合的光刻工艺图案化上层118,以形成开口122。以上层118图案化开口122作为范例,光掩模可配置于上层118之上。上层118可接着暴露于辐射束,其包含紫外线(ultraviolet,UV)或准分子激光,如来自氪氟化物(KrF)准分子激光的248nm光束、来自氩氟化物(ArF)准分子激光的193nm光束或来自F2准分子激光的157nm光束等等,而光掩模遮蔽上层118的区域。可用浸润式光刻系统进行光刻胶层顶部的曝光,以增加解析度并减少可实现的最小节距。可执行烘烤和固化操作以硬化上层118,以及可使用显影剂,其取决于使用正光刻胶或负光刻胶,移除上层118上曝光或未曝光的部分。单独使用光刻工艺,在开口122的节距P1可为可实现的最小节距。举例而言,在一些实施例中,在开口122中的节距P1大约为80nm或更小或甚至约28nm或更小。也可考虑在开口122中的其他节距P1
在图案化上层118之后,在蚀刻工艺中将上层118的图案转移到中层116。蚀刻工艺为非等向性(anisotropic),使得在上层118中的开口122延伸到中层116,且在中层116具有与在上层118大致相同的尺寸。所得到的结构如图2所示。
可选地,可执行修整工艺(trimming process)以增加在中层116中的开口122的尺寸。在一实施例中,修整工艺为非等向性的等离子体蚀刻工艺,其使用工艺气体包含O2、CO2、N2/H2、H2等等或其组合或任何其他适合修整中层116的气体。修整可以增加开口122的宽度W1和减少中层116位于开口122之间的部分的宽度W2。举例而言,在一些实施例中,在修整之后,宽度W2可为20nm或更少。可执行修整工艺以便于实现宽度W1和宽度W2的期望比例,使得后续定义的线得以均匀间隔。在其他实施例中,最先图案化中层116以具有宽度W1和宽度W2的期望比例,并且可省略修整工艺。
在图3中,执行蚀刻工艺以将中层116的图案转移到底层114,借以延伸开口122至底层114。底层114的蚀刻工艺为非等向性,使得在中层116的开口122延伸到底层114且在中层116具有与在底层114大致相同的尺寸。作为蚀刻底层114的一部分,上层118(参见图1和图2)可能被消耗掉。
在图4中,使用蚀刻工艺将底层114的图案(参见图3)转移到心轴层112。心轴层112的蚀刻工艺为非等向性,使得在底层114的开口122延伸至心轴层112,且在心轴层112具有与在底层114大致相同的尺寸。蚀刻可以为使用含氢蚀刻剂的干蚀刻(如等离子体蚀刻),其中以氢气作为蚀刻剂的反应成分。举例来说,蚀刻化学品可包含单独的氢气(如H2)或氢气与其他化学品的组合(如HBr、NH3等等),其作为蚀刻心轴层112的有效蚀刻剂。在一些实施例中,蚀刻化学品使用提供单独的氢气或氢气和其他化学元素组合,其作为蚀刻心轴层112的反应物。蚀刻可进一步采用除了有效蚀刻剂之外的其他工艺气体(如载气,其可包含Ar、N2或其组合等等)。在使用H2等离子体蚀刻心轴112的一实施例中,可应用以下的反应机制(A)和(B)。
SnO2(膜)+2H2(等离子体)→SnH4(气体)+O2(等离子体)(A)
SnO(膜)+O2(等离子体)→SnO(膜)(B)
如上所述并由反应机制(B)所示,氧化锡膜不易氧化且不易与在反应机制(A)产生的氧等离子体反应。反应的副产物可为气态SnH4。在一实施例中,含氢蚀刻剂的流速可大约为200sccm,且载气的流速(如Ar或N2)可大约为200sccm。蚀刻可大约在室温60℃和大约在10mTorr至50mTorr的压力下执行。在其他实施例中,可使用其他工艺条件(如不同流速、温度及/或压力)。
在其他实施例中,可使用不同反应物(如氯气(Cl2))以蚀刻心轴层112;可使用不同反应物额外加入或取代上述的含氢蚀刻剂。在使用H2等离子体和Cl2等离子体蚀刻心轴112的一实施例中,气体SnCl4可为反应的副产物。
当图案化心轴层112时,可用紧接于心轴层112之下的膜层(如介电层110或硬掩模层108)作为蚀刻停止层。由于心轴层112的材料(如SnO)可用化学蚀刻剂(如含氢气体)图案化,其不会显着地蚀刻下层的材料,因此介电层110或硬掩模层108可用作蚀刻停止层。举例来说,已观察到含氢气体以比用来蚀刻下层材料(如金属、金属合金、硅、含硅材料等等)更快的速度,蚀刻SnO。在一些实施例中,心轴层112(如SnO)的蚀刻速度可在大约10nm/min到50nm/min的范围之间。
因此,由心轴层112的剩余部分定义心轴124(如在开口122之间的心轴层112的部分)。心轴124具有节距P1(也参见图1)。在一些实施例中,节距P1为使用光刻工艺中可实现的最小节距。另外,每个心轴112具有宽度W2,在其他实施例中,宽度W2可为20nm或更小。在蚀刻心轴层112的期间,中层116会被消耗掉,而底层114至少会被部分消耗。
当蚀刻心轴层112且底层114没有完全被消耗掉的实施例中,可进行灰化工艺以去除底层114剩下的残留物。灰化工艺可包含氧等离子体剥离,其将心轴124暴露于氧等离子体之中。由SnO所组成的心轴124的实施例中,因为SnO抗氧化,所以将心轴124暴露于氧等离子体不会显着地氧化心轴124。因此,在整个去光刻胶工艺中可维持心轴124的结构(如材料的组成和形状)。
相反地,当心轴124由不同于SnO的材料组成时,灰化工艺可能会氧化心轴124的外部区域。举例来说,当心轴由非晶硅、掺杂碳的非晶硅、掺杂硼的非晶硅等等形成的实施例中,在灰化工艺之后,心轴124的外部区域可包含氧化硅、硅碳氧化物、硅硼氧化物等等。在一些实施例中,其外部氧化区域可延伸到心轴124内达4nm。在小节距(如当心轴124具有宽度为20nm或更小),其4nm厚的氧化区域占心轴上无法接受的高百分比,其会造成后续工艺步骤中不良的临界尺寸控制以及制造缺陷。
在图5中,由心轴124的上方以及沿着心轴124的侧壁形成间隔层126。间隔层126可以更进一步沿着开口122中的介电层110的上表面延伸。间隔层126,可择自与介电层110(或硬掩模层108)和心轴124具有高蚀刻选择性的材料。举例来说,间隔层126可包含AlO、AlN、AlON、TaN、TiN、TiO、Si、SiO、SiN、金属、非金属等等且可使用任何适当的工艺如ALD、CVD等等沉积。在一些实施例中,间隔层126的沉积工艺为顺应性的(conformal),使得在心轴124的侧壁上的间隔层126的厚度,基本上等于(如在制造容忍度内)在心轴124顶部及在开口122底部的间隔层126的厚度。
在图6中,图案化间隔层126以去除横向部分的间隔层126而剩下在心轴124的侧壁上的间隔物128。蚀刻间隔层126暴露心轴124和在心轴124的下层的部分(如介电层110或硬掩模层108)。图案化间隔层126可包含干蚀刻工艺,其以比蚀刻心轴层124更快的速度,选择性地蚀刻间隔层126。蚀刻间隔层126的范例蚀刻剂可包含氟反应气体、如碳氟基蚀刻剂(CxFy)、NF3、SF6、Cl2等等。其他工艺气体可和碳氟基蚀刻剂组合使用,如氧(O2)、氮(N2)、氩(Ar)或其组合等等。在一实施例中,用于图案化间隔层126的工艺气体可不含氢。干蚀刻工艺为非等向性且蚀刻暴露的横向部分的间隔层126而留下在心轴124上垂直部分的间隔层126(间隔物128)。
由于心轴124包含SnO并在整个工艺中可阻抗更进一步的氧化,因此可选择性地蚀刻间隔层126,而不显着地蚀刻心轴124。举例来说,在心轴124的外部区域被氧化的实施例中,氧化区域可包含与间隔层126相似的材料。因此,当图案化间隔层126时,可能会不经意地移除心轴124的部分(尤其是在氧化区域),进而造成制造缺陷。通过使用SnO心轴,可减少或避免这种缺陷。
在图7中,使用蚀刻工艺移除心轴124。由于心轴124和间隔物128相对于同样蚀刻工艺具有蚀刻选择性,可在不移除间隔物128的情况下移除心轴124。蚀刻心轴124暴露了在其之下的介电层110(或硬掩模层108),其可作为蚀刻停止层。在一些实施例中,蚀刻心轴124可减少间隔物128的高度而不移除间隔物128。移除心轴124可包含干蚀刻工艺,其相似于如先前在图4中所示用于图案化心轴124的工艺。举例来说,干蚀刻工艺可使用含氢反应气体去选择性地移除心轴124而留下间隔物128。然而,用来移除心轴124的蚀刻剂的化学成分可与用来图案化心轴124的蚀刻剂的化学成分相同或不同。举例来说,可使用不包含Cl2的H2、Ar、N2的组合而图案化心轴124(如在图4中所描述)以及移除心轴124(如在图7中所描述)。作为其他示例,可使用H2、Ar、N2、Cl2的组合图案化心轴124(如在图4所描述)以及可使用不包含Cl2的H2、Ar、N2组合移除心轴124(如在图7所描述)。
由于心轴124包含SnO并在整个工艺中可阻抗更进一步的氧化,因此可使用上述选择性移除工艺,以较少的残留物移除心轴124。举例来说,在心轴124的外部区域被氧化的实施例中,氧化区域可包含与间隔物128相似的材料。因此,心轴124的选择移除(尤其是在氧化区域)可能会是困难的并且造成残留的心轴材料保留在间隔物128上。这些剩下的材料改变图案化部件的临界尺寸,造成制造缺陷。通过使用SnO心轴,可减少或避免这种缺陷。
即使图7出示所有的心轴124皆被移除,各种实施例也考虑了心轴124的选择性移除。举例来说,在形成目标层102的晶圆的特定区域(如取决于装置布局)中,可能无法形成及/或移除心轴124。为了实现心轴124的选择性移除,可在心轴124和间隔物128上沉积光刻胶。光刻胶可相似于光刻胶120,参见图1到图3,且在光刻胶上的开口会暴露移除的心轴124区域而遮蔽心轴124其他区域以防止移除。接着,可使用灰化步骤移除光刻胶。
在移除一些或全部的心轴124之后,间隔物128可具有节距P2。在采用如同先前所述的SADP工艺的实施例中,通过光刻工艺,节距P2为可实现的最小节距的一半。间隔物128定义硬掩模层108的图案。在一些实施例中,由间隔物128定义的图案是后续形成导线的负像。举例来说,由间隔物128(开口122)定义图案周围的空间,对应到后续形成导线的图案。在其他实施例中,间隔物128对应到半导体鳍或栅极结构的图案。
图8至图10为剖面示意图,其绘示以间隔物128定义的图案,在目标层102中的图案化以及沉积部件。首先参见图8,使用间隔物128作为蚀刻掩模,后续蚀刻介电层110(参见图7)和硬掩模层108。任何未被移除的心轴124也可用作蚀刻掩模以图案化硬掩模层108。因此,硬掩模层108可具有和间隔物128相同的图案和节距。在一些实施例中,蚀刻硬掩模层108包含非等向性干蚀刻及/或湿蚀刻。在图案化硬掩模层108之后,可进行湿式清洗以移除任何间隔物128和介电层110的剩余部分。
接着,在图9中,硬掩模层108用作蚀刻掩模以图案化在目标层102上的开口140。蚀刻目标层102可包含非等向性的干蚀刻工艺及/或湿蚀刻工艺,其后续从ARC106蚀刻至目标层102。目标层102的剩余部分可具有与如图7中的间隔物128相同的图案。在图案化开口140之后,可进行湿式清洗以移除任何硬掩模层108和ARC106的剩余部分。
在目标层102上图案化开口140之后,在开口140中可形成部件。在一实施例中,目标层102为低介电常数且已图案化的目标层102为内连线结构提供IMD。如图10所示,可在IMD层形成导电部件如铜线、铜导孔及/或钴插塞。形成导电部件可包含沿着开口140的侧壁及开口140的底部表面,沉积一个或多个衬层142(参见图9)。衬层142可包含TiO、TiN、TaO、TaN等等且可为导电部件提供扩散障壁、粘合及/或晶种层。可使用任何适合的工艺如PVD、CVD、ALD等等沉积衬层。
在衬层142沉积之后,可使用例如PVD、电镀等等,以导电材料144(如铜)填充开口的剩余部分。首先可沉积导电材料144,用来过填充开口140(参见图9),且进行平坦化工艺以移除在目标层102之上的填充材料144的多余部分。因此,在目标层102中可形成导电部件。
在其他实施例中,可排除在图10的沉积工艺。举例来说,在一些实施例中,硬掩模层108可用来图案化为半导体基底的目标层102。在这种实施例中,硬掩模层108定义finFET晶体管的鳍片的图案。在其他实施例中,硬掩模层108可用作图案化毯覆式沉积层(如导电的闲置栅极层)。在这种实施例中,硬掩模层108定义晶体管的栅极结构的图案。也可使用上述的工艺图案化其他部件。
上述提及的各种实施例提供了图案化工艺。尤其是,在半导体装置中进行自对准双重图案化工艺以图案化部件(如半导体鳍、栅极结构、导线等等)。在双重曝光工艺期间,氧化锡(SnO)用作心轴的材料。已观察到通过使用SnO心轴,当在心轴进行其他工艺时,心轴不会被氧化。通过防止心轴材料产生不想要的氧化,可减少制造缺陷。
接着,在心轴的侧壁上形成间隔物,且选择性地移除心轴。以心轴的节距的一半形成间隔物,因此使用间隔物作为掩模的图案化部件,具有比心轴更精细的节距。SnO心轴相对于其他材料(如间隔材料、下层材料等等)具有高蚀刻选择性的更进一步的优点,例如,使用含氢气体蚀刻剂,其中氢气作为反应物以蚀刻SnO。因此,当图案化或移除心轴时,可改善图案化可靠性,且可以以提高制造精细节距的半导体结构的生产率。
根据一实施例,一种半导体装置的图案化方法包含:图案化氧化锡层以定义在目标层上的多个心轴;沉积间隔层沿着多个心轴的侧壁和心轴的上方;图案化间隔层以在多个心轴的侧壁上提供多个间隔物;在图案化间隔层之后,移除多个心轴;在移除多个心轴之后,使用多个间隔物图案化目标层;以及在移除多个心轴之后,使用多个间隔物图案化目标层。在一实施例中,图案化氧化锡层包含使用气体蚀刻剂的干蚀刻工艺,其中氢气作为气体蚀刻剂的反应成分。在一实施例中,气体蚀刻剂包含HBr、H2、NH3或其组合。在一实施例中,干蚀刻工艺还包括使用工艺气体,其包含氩气、氮气或其组合。在一实施例中,图案化氧化锡层包含使用气态蚀刻剂的干蚀刻工艺,其中氯气作为气态蚀刻剂的反应成分。在一实施例中,去除多个心轴包含减少多个间隔物的高度而不移除那些间隔物。在一实施例中,间隔层包括AlO、AlN、AlON、TaN、TiN、TiO、Si、SiO、SiN或其组合。在一实施例中,暴露多个心轴于氧等离子体。
根据一实施例,一种半导体装置的图案化方法包含:蚀刻材料层以在硬掩模层之上定义多个心轴,其中蚀刻材料层包含使用第一蚀刻气体,其包含氢气作为第一蚀刻气体的反应成分;形成多个间隔物于多个心轴的侧壁;移除多个心轴以定义多个间隔物间的多个开口;使用多个间隔物作为掩模以图案化硬掩模层;以及使用硬掩模层作为掩模以图案化目标层。在一实施例中,材料层包括氧化锡。在一实施例中,第一蚀刻气体包括H2、HBr、NH3或其组合。在一实施例中,移除多个心轴包含使用第二蚀刻气体,其包含氢气作为第二蚀刻气体的反应成分。在一实施例中,移除多个心轴包括使用第二蚀刻气体,其包含氯气作为第二蚀刻气体的反应成分。在一实施例中,形成多个间隔物包含沉积间隔层在多个心轴的上方及沿着多个心轴的侧壁;以及使用第三蚀刻气体蚀刻间隔层,其以比蚀刻心轴更快的速度蚀刻间隔层。在一实施例中,第三蚀刻气体包含氟气作为第三蚀刻气体的反应成分。
根据一实施例,一种半导体装置的图案化方法,包含使用光刻胶作为光掩模,在氧化锡层中蚀刻出多个开口;在蚀刻出那些开口之后,使用氧等离子体去除至少一部分的光刻胶,其中去除一部分的光刻胶将氧化锡层暴露于氧等离子体;沉积间隔层沿着多个开口的侧壁及底部表面;去除间隔层的横向部分而留下间隔层位于多个开口侧壁的部分;在去除横向部分的间隔层之后,使用第一含氢反应气体选择性地去除氧化锡层;以及在去除氧化锡层之后,使用剩下部分的间隔层作为掩模以蚀刻硬掩模层。在一实施例中,蚀刻多个开口还包含使用第二含氢反应气体。在一实施例中,第一含氢反应气体是H2、HBr、NH3或其组合。在一实施例中,蚀刻多个开口还包含使用工艺气体,其包含氩气、氮气或其组合。在一实施例中,去除横向部分的间隔层包含使用蚀刻化学品,其以比蚀刻氧化锡层更快的速度蚀刻间隔层。
根据一实施例,间隔层可包括AlO、AlN、AlON、TaN、TiN、TiO、Si、SiO、SiN或其组合;第一蚀刻气体可包括H2、HBr、NH3或其组合。根据一实施例,去除该些心轴可包括使用一第二蚀刻气体,其包括氢气作为该第二蚀刻气体的一反应成分。根据一实施例,去除该些心轴包括使用一第二蚀刻气体,其包括氯气作为该第二蚀刻气体的一反应成分。
根据一实施例,形成该些间隔物可包括:沉积一间隔层在该些心轴的上方及沿着该些心轴的侧壁;以及使用一第三蚀刻气体蚀刻该间隔层,其以比蚀刻该些心轴更快的速度蚀刻该间隔层,其中该第三蚀刻气体可包括氟气作为该第三蚀刻气体的一反应成分。
根据一实施例,蚀刻该些开口还可包括使用一第二含氢反应气体;该第一含氢反应气体可以是H2、HBr、NH3或其组合;蚀刻该些开口还可包括使用一工艺气体,该工艺气体可包括氩气、氮气或其组合;去除横向部分的该间隔层可包括使用一蚀刻化学品,其以比蚀刻该氧化锡层更快的速度蚀刻该间隔层。
以上概述数个实施例的部件,以便在本发明所属技术领域中普通技术人员可以更加理解本发明实施例的观点。在本发明所属技术领域中普通技术人员应理解,他们能轻易地以本发明实施例为基础,设计或修改其他工艺和结构,以达到与在此介绍的实施例相同的目的及/或优势。在本发明所属技术领域中普通技术人员也应理解,此类等效的结构并没有背离本发明的精神与范围,且本发明所属技术领域中普通技术人员能在不违背本发明的精神和范围下,做各式各样的改变、取代和替换。因此,本发明的保护范围应以随附权利要求范围所界定为准。

Claims (10)

1.一种半导体装置的图案化方法,包括:
图案化一氧化锡层以定义多个心轴在一目标层上;
沉积一间隔层在该多个心轴的上方及沿着该多个心轴的侧壁;
图案化该间隔层以在该多个心轴的侧壁上提供多个间隔物;
在图案化该间隔层之后,去除该多个心轴;以及
在去除该多个心轴之后,使用该多个间隔物图案化该目标层。
2.如权利要求1所述的半导体装置的图案化方法,其中图案化该氧化锡层包括使用一气态蚀刻剂的一干蚀刻工艺,其中氢气作为该气态蚀刻剂的一反应成分。
3.如权利要求2所述的半导体装置的图案化方法,其中该气态蚀刻剂包括HBr、H2、NH3或其组合。
4.如权利要求1所述的半导体装置的图案化方法,其中图案化该氧化锡层包括使用一气态蚀刻剂的一干蚀刻工艺,其中氯气作为该气态蚀刻剂的一反应成分。
5.如权利要求1所述的半导体装置的图案化方法,其中去除该多个心轴包括减少该多个间隔物的一高度而不去除该多个间隔物。
6.如权利要求1所述的半导体装置的图案化方法,还包括暴露该多个心轴于氧等离子体。
7.一种半导体装置的图案化方法,包括:
蚀刻一材料层以定义多个心轴在一硬掩模层之上,其中蚀刻该材料层包括使用一含氢的第一蚀刻气体,作为该第一蚀刻气体的一反应成分;
形成多个间隔物于该多个心轴的侧壁;
去除该多个心轴以定义该多个间隔物间的多个开口;
使用该多个间隔物作为一掩模以图案化该硬掩模层;以及
使用该硬掩模层作为一掩模以图案化一目标层。
8.如权利要求7所述的半导体装置的图案化方法,其中该材料层包括氧化锡。
9.如权利要求7所述的半导体装置的图案化方法,其中形成该多个间隔物包括:
沉积一间隔层在该多个心轴的上方及沿着该多个心轴的侧壁;以及
使用一第三蚀刻气体蚀刻该间隔层,其以比蚀刻该多个心轴更快的速度蚀刻该间隔层。
10.一种半导体装置的图案化方法,包括:
使用一光刻胶作为一光掩模,在一氧化锡层中蚀刻出多个开口;
在蚀刻出该多个开口之后,使用氧等离子体去除至少一部分的该光刻胶,其中去除一部分的该光刻胶将该氧化锡层暴露于氧等离子体;
沉积一间隔层沿着该多个开口的侧壁及底部表面;
去除该间隔层的横向部分而留下该间隔层位于该多个开口侧壁的部分;
在去除横向部分的该间隔层之后,使用一第一含氢反应气体选择性地去除该氧化锡层;以及
在去除该氧化锡层之后,使用剩下部分的该间隔层作为一掩模以蚀刻一硬掩模层。
CN201910243370.0A 2018-06-29 2019-03-28 半导体装置的图案化方法 Active CN110660652B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862691835P 2018-06-29 2018-06-29
US62/691,835 2018-06-29
US16/131,787 US10867804B2 (en) 2018-06-29 2018-09-14 Patterning method for semiconductor device and structures resulting therefrom
US16/131,787 2018-09-14

Publications (2)

Publication Number Publication Date
CN110660652A true CN110660652A (zh) 2020-01-07
CN110660652B CN110660652B (zh) 2022-02-18

Family

ID=69055350

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910243370.0A Active CN110660652B (zh) 2018-06-29 2019-03-28 半导体装置的图案化方法

Country Status (3)

Country Link
US (1) US10867804B2 (zh)
CN (1) CN110660652B (zh)
TW (1) TWI698929B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
CN113675081A (zh) * 2018-01-30 2021-11-19 朗姆研究公司 在图案化中的氧化锡心轴
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
US20220102143A1 (en) * 2020-09-29 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Metal Hard Masks for Reducing Line Bending
US20220102212A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Self-Aligned Via Formation Using Spacers
US20230045826A1 (en) * 2021-08-12 2023-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for fabricating semicondcutor structures
US11972977B2 (en) * 2021-09-08 2024-04-30 International Business Machines Corporation Fabrication of rigid close-pitch interconnects
US11817322B2 (en) * 2021-10-28 2023-11-14 Nanya Technology Corporation Method of manufacturing vias with pulsing plasma
TWI787138B (zh) * 2022-02-24 2022-12-11 南亞科技股份有限公司 具有遮罩線以抑制訊號串擾的半導體元件
CN116741626A (zh) * 2022-03-04 2023-09-12 长鑫存储技术有限公司 一种半导体结构的制备方法及半导体结构

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103426809A (zh) * 2012-05-18 2013-12-04 中芯国际集成电路制造(上海)有限公司 一种基于自对准双图案的半导体制造方法
US8741701B2 (en) * 2012-08-14 2014-06-03 International Business Machines Corporation Fin structure formation including partial spacer removal
CN104701142A (zh) * 2013-12-05 2015-06-10 台湾积体电路制造股份有限公司 自对齐双间隔件图案化工艺
US20150340239A1 (en) * 2013-10-07 2015-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Forming Multiple Patterning Spacer Structures
CN107546106A (zh) * 2016-06-28 2018-01-05 朗姆研究公司 半导体器件制造中的氧化锡薄膜间隔物

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4544444A (en) * 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
US9812325B2 (en) 2015-09-03 2017-11-07 Tokyo Electron Limited Method for modifying spacer profile
US9934985B2 (en) 2015-11-30 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Critical dimension control for double patterning process
US10373828B2 (en) 2016-05-29 2019-08-06 Tokyo Electron Limited Method of sidewall image transfer
US11175581B2 (en) * 2016-12-05 2021-11-16 Rohm And Haas Electronic Materials Llc Aromatic resins for underlayers
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
CN113675081A (zh) * 2018-01-30 2021-11-19 朗姆研究公司 在图案化中的氧化锡心轴

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103426809A (zh) * 2012-05-18 2013-12-04 中芯国际集成电路制造(上海)有限公司 一种基于自对准双图案的半导体制造方法
US8741701B2 (en) * 2012-08-14 2014-06-03 International Business Machines Corporation Fin structure formation including partial spacer removal
US20150340239A1 (en) * 2013-10-07 2015-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Forming Multiple Patterning Spacer Structures
CN104701142A (zh) * 2013-12-05 2015-06-10 台湾积体电路制造股份有限公司 自对齐双间隔件图案化工艺
CN107546106A (zh) * 2016-06-28 2018-01-05 朗姆研究公司 半导体器件制造中的氧化锡薄膜间隔物

Also Published As

Publication number Publication date
CN110660652B (zh) 2022-02-18
TW202002076A (zh) 2020-01-01
US20200006082A1 (en) 2020-01-02
TWI698929B (zh) 2020-07-11
US10867804B2 (en) 2020-12-15

Similar Documents

Publication Publication Date Title
CN110660652B (zh) 半导体装置的图案化方法
US10340141B2 (en) Patterning method for semiconductor device and structures resulting therefrom
CN110875176B (zh) 半导体装置的形成方法
US7390750B1 (en) Method of patterning elements within a semiconductor topography
CN110610898A (zh) 图案化半导体装置的方法
US10867794B2 (en) Patterning method for semiconductor devices and structures resulting therefrom
US11929254B2 (en) Semiconductor patterning and resulting structures
US11508618B2 (en) Multicolor self-aligned contact selective etch
CN110890321A (zh) 半导体结构的制作方法
US20240136184A1 (en) Method for forming and using mask
US11948798B2 (en) Semiconductor device and method
TW202203295A (zh) 半導體裝置的形成方法及其用於製造積體電路的方法
US11848209B2 (en) Patterning semiconductor devices and structures resulting therefrom

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant