CN110232213B - 基于FinFET结构的高速标准单元库版图设计方法 - Google Patents

基于FinFET结构的高速标准单元库版图设计方法 Download PDF

Info

Publication number
CN110232213B
CN110232213B CN201910382478.8A CN201910382478A CN110232213B CN 110232213 B CN110232213 B CN 110232213B CN 201910382478 A CN201910382478 A CN 201910382478A CN 110232213 B CN110232213 B CN 110232213B
Authority
CN
China
Prior art keywords
width
layout
standard cell
unit
standard
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910382478.8A
Other languages
English (en)
Other versions
CN110232213A (zh
Inventor
阳媛
胡晓明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huali Microelectronics Corp
Original Assignee
Shanghai Huali Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huali Microelectronics Corp filed Critical Shanghai Huali Microelectronics Corp
Priority to CN201910382478.8A priority Critical patent/CN110232213B/zh
Publication of CN110232213A publication Critical patent/CN110232213A/zh
Application granted granted Critical
Publication of CN110232213B publication Critical patent/CN110232213B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Abstract

本发明公开了一种基于FinFET结构的高速标准单元库版图设计方法,其中:根据设计规则确定有源区的可选宽度;根据设计规则确定多晶硅宽度的容许值及水平方向的间距,得到单元版图的宽度参考指标;根据设计规则确定金属层的最小宽度和间距,得到单元版图的高度参考指标;根据单元库的设计需求确定标准单元版图高度;通过仿真环形振荡器电路或单元电路自身得到速度最快的PMOS和NMOS的宽度比值,结合设计规则确定中线位置;通过标准单元版图高度、设计规则、中线位置分别计算PMOS/NMOS可用鳍片最大值,确定驱动部分版图布局;仿真迭代调整标准单元逻辑部分的有源区宽度,使标准单元速度最佳。本发明可以有效增大标准单元的设计空间,提高标准单元的速度。

Description

基于FinFET结构的高速标准单元库版图设计方法
技术领域
本发明涉及微电子及半导体集成电路结构设计领域,具体属于一种基于FinFET结构的高速标准单元库版图设计方法。
背景技术
标准单元库是超大规模集成电路(VLSI,Very Large Scale Integration)自动化设计的基础,其采用全定制方法设计好各种单元电路的版图,然后把这些经过优化设计并验证通过的单元版图存入数据库。设计时将所需单元从单元库中调出,将其排列成若干行,行间留有布线通道。然后根据电路要求将各单元用连线联接起来,同时把相应的输入/输出单元和压焊块联接起来,得到所要求的芯片版图。由于单元库中各个单元的高度相等,宽度不限,单元中的电源、地线及输入输出端口位置都有特殊的规定,使得单元与单元连接时变得简单、有条理,布局也有规律,为以后的高层次的系统设计带来很大的方便,使得本来很复杂、工作量很大的系统设计变得相对简单、容易,并且带有很强的规律性。应用优化的标准单元库能够自动进行逻辑综合和版图布局布线,提高设计效率。
标准单元库根据设计的需要,通常分为高密度(high density)、高速(highspeed)和超高速(very high speed)。高密度标准单元库高度通常为6T(track,高度单位),高速为9T,超高速为12T。在宽度不变的情况下,高度增加意味着面积的增加,当设计更关系面积因素时,采用高密度的标准单元库,当设计更关心速度因素时,采用超高速的标准单元库,折中考虑面积和速度因素时,则采用高速标准单元库。
FinFET(Fin Field-Effect Transistor,鳍式场效应晶体管)是一种新的互补式金氧半导体晶体管,其可以改善电路控制并减少漏电流,缩短晶体管的闸长。如申请号为201410724756.0、名称为FinFET工艺标准单元库版图结构设计方法的发明专利中,公开的版图设计方法如图1所示,通过五个步骤定义出标准单元库版图结构,具体如下:
第一步,根据工艺仿真得到鳍片(Fin)间距的容许值;
第二步,根据工艺设计规则定义金属层的布线间距,得到标准单元库版图高度参考指标;
第三步,结合布线间距确定中线位置信息;
第四步,通过中线位置信息、工艺设计规则和鳍片间距的容许值,定义反相器有源区宽度容许值;
第五步,利用仿真迭代设计标准单元库有源区宽度,使得反相器上升和下降时间以及传输延时最优。
这种设计方法存在两个缺陷:
一方面,中线位置限制电路速度;具体地,各生产商提供的CMOS、FinFET等器件中,PMOS的饱和电流基本为NMOS的一半,而FinFET的有源区宽度必须为Fin的宽度及间距整数倍之和,按照前述发明专利的定义方法,仅凭理论将中线位置定义在版图中部或偏移最多1个布线轨道,P\N宽度比值仅能约等于1,不能满足电路达到性能最优的效果;
另一方面,水平方向以金属层布线轨道作为单元宽度参考指标,浪费布线资源和人力,且版图难以实现;例如,在14nm FinFET等先进工艺中,多晶硅需按照多晶硅间距的概念设计,而多晶硅间距通常远大于金属层的布线轨道且不一定为金属布线轨道的整数倍,按照前述发明专利的设计方法,版图宽度需要经过多方位调整,甚至浪费面积,可能才会符合布线轨道整数倍的要求,并且PORT端金属需要通过可以延长等方法才能将标记标至格点处,造成布线资源及人力的浪费。
发明内容
本发明要解决的技术问题是提供一种基于FinFET结构的高速标准单元库版图设计方法,可以解决现有技术中电路速度受中线位置限制以及版图面积浪费的问题。
为解决上述技术问题,本发明提供的基于FinFET结构的高速标准单元库版图设计方法,包括如下步骤:
步骤1,根据设计规则,确定鳍片在垂直方向上的格点间距Sf以及鳍片最小宽度的容许值Wf,得到有源区的可选宽度W;
步骤2,根据设计规则,确定多晶硅宽度的容许值Wpo以及多晶硅在水平方向上的间距Spo,得到多晶硅间距Wpp,所述多晶硅间距Wpp为单元版图的宽度参考指标;
步骤3,根据设计规则,确定金属层的最小宽度Wm和间距Sm,得到金属布线轨道宽度Wv,所述金属布线轨道宽度为单元版图的高度参考指标T;
步骤4,根据单元库的设计需求确定标准单元版图高度H;
步骤5,通过仿真电路,得到速度最快的PMOS和NMOS的宽度比值Rwidth,结合设计规则确定单元版图结构中的中线位置;
步骤6,通过标准单元版图高度、设计规则、中线位置信息,计算得到单元驱动部分PMOS可用鳍片最大值Fp、NMOS可用鳍片最大值Fn、PMOS有源区最大宽度Wp和NMOS有源区最大宽度Wn,确定驱动部分的版图布局;
步骤7,利用仿真迭代调整标准单元逻辑部分的有源区宽度,使得标准单元的速度最佳。
进一步的,在步骤1中,有源区的可选宽度W与鳍片在垂直方向上的格点间距Sf、鳍片最小宽度的容许值Wf的关系式为W=Wf+Sf×n,其中n为正整数。
进一步的,在步骤2中,多晶硅间距Wpp与多晶硅宽度的容许值Wpo、多晶硅在水平方向上的间距Spo的关系式为Wpp=Wpo+Spo。
进一步的,FinFET单元版图在水平方向上的宽度为多晶硅间距的正整数倍。
进一步的,在步骤3中,金属布线轨道宽度Wv与金属层的最小宽度Wm、金属层的间距Sm的关系式为Wv=Wm+Sm。
进一步的,在步骤4中,所述标准单元版图高度为金属布线轨道宽度的倍数。
优选的,所述标准单元版图高度为金属布线轨道宽度的7~12倍。
优选的,所述标准单元版图高度与金属布线轨道宽度的比值为整数。或者,所述标准单元版图高度与金属布线轨道宽度的比值为非整数。
进一步的,在步骤5中,仿真电路为环形振荡器电路或单元电路自身。
进一步的,在步骤5中,PMOS、NMOS width的比值为1.0~2.0。
进一步的,步骤6具体包括如下:
步骤A1,根据标准单元版图高度、设计规则和中线位置信息计算标准单元有源区宽度的最大值Wmax;
步骤A2,根据标准单元有源区宽度的最大值Wmax、鳍片在垂直方向上的格点间距Sf、鳍片最小宽度的容许值Wf计算标准单元可用鳍片最大值Fmax;
步骤A3,根据标准单元可用鳍片最大值Fmax、P/N width确定PMOS可用鳍片最大值Fp和NMOS可用鳍片最大值Fn;
步骤A4,计算确定PMOS有源区最大宽度Wp和NMOS有源区最大宽度Wn。
优选的,在步骤A2中,标准单元可用鳍片最大值Fmax与标准单元有源区宽度的最大值Wmax、鳍片在垂直方向上的格点间距Sf、鳍片最小宽度的容许值Wf的关系式为Fmax=2+(Wmax-2Wf)/Sf。
优选的,在步骤A3中,PMOS可用鳍片最大值Fp与标准单元可用鳍片最大值Fmax、PMOS和NMOS的宽度比值Rwidth之间的关系式为Fp=Fmax×Rwidth/(1+Rwidth),NMOS可用鳍片最大值Fn=Fmax-Fp。
优选的,在步骤A4中,PMOS有源区最大宽度Wp=(Fp-1)×Sf+Wf,NMOS有源区最大宽度Wn=Wmax-Wp。
进一步的,标准单元包括驱动部分和逻辑部分,且标准单元连接输出信号的一级通路为驱动部分,其余为逻辑部分;或者标准单元包括驱动部分,且标准单元连接输出信号的一级通路为驱动部分。
与现有的标准单元库版图设计方法相比,本发明针对FinFET结构可以有效地增大标准单元的设计空间,提高标准单元的速度,使标准单元的性能达到最优。
附图说明
图1为现有的标准单元库版图设计方法的流程示意图;
图2为本发明的基于FinFET结构的高速标准单元库版图设计方法的流程示意图;
图3为采用本发明的设计方法形成的多晶硅间距与鳍片的布局示意图;
图4为本发明实施例一设计的缓冲器电路的示意图;
图5为与图4所示电路对应的缓冲器版图的示意图。
具体实施方式
在现有的FinFET工艺标准单元库版图设计方法中,首先根据工艺仿真得到七片间距的容许值,然后根据设计规则定义金属层的布线间距并得到标准单元库版图高度参考指标,接着结合布线间距确定中线位置信息,再通过中线位置信息、设计规则和鳍片间距的容许值定义反相器有源区宽度的容许值,最后利用仿真迭代涉及标准单元库的有源区宽度,使反相器上升和下降时间以及传输延时达到最优。在这种设计方法中,中线位置限制了电路的速度,无法实现电路性能最优的效果,而且水平方向以金属层布线轨道作为单元宽度参考指标,浪费布线资源和版图面积。
下面结合附图通过特定的具体实施例说明本发明的实施方式,本领域技术人员可以由本说明书所揭示的内容轻易地了解本发明的其它优点与功效。在以下描述中阐述了具体细节以便于充分理解本发明,但是本发明亦可通过其它不同的具体实施例加以施行或应用,本说明书中的各项细节亦可基于不同观点与应用,本领域技术人员在不背离本发明的精神下可以进行各种类似推广和替换。
本发明的基于FinFET结构的高速标准单元库版图设计方法,如图2所示,包括如下步骤:
步骤1,根据设计规则,确定鳍片在垂直方向上的格点间距Sf以及鳍片最小宽度的容许值Wf,得到有源区的可选宽度W;
具体地,有源区的可选宽度W与鳍片在垂直方向上的格点间距Sf、鳍片最小宽度的容许值Wf之间的关系式为W=Wf+Sf×n,其中n为正整数;
步骤2,根据设计规则,确定多晶硅宽度的容许值Wpo以及多晶硅在水平方向上的间距Spo,得到多晶硅间距Wpp,所述多晶硅间距Wpp为单元版图的宽度参考指标;
具体地,多晶硅间距Wpp与多晶硅宽度的容许值Wpo、多晶硅在水平方向上的间距Spo之间的关系式为Wpp=Wpo+Spo,且基于FinFET结构设计的单元版图在水平方向上的宽度为多晶硅间距的正整数倍;
步骤3,根据设计规则,确定金属层的最小宽度Wm和间距Sm,得到金属布线轨道宽度Wv,所述金属布线轨道宽度为单元版图的高度参考指标T;
具体地,金属布线轨道宽度Wv与金属层的最小宽度Wm、金属层的间距Sm之间的关系式为Wv=Wm+Sm;而且,同一套标准单元库一般为同一个高度T,或该高度T的整数倍;
步骤4,根据单元库的设计需求(如高密度、高速等)确定标准单元版图高度H;
较佳的,所述标准单元版图高度为金属布线轨道宽度的倍数,优选的,标准单元版图高度为金属布线轨道宽度的7~12倍,其中,标准单元版图高度与金属布线轨道宽度的比值为整数但不局限于整数,也就是说,比值也可以是非整数;
步骤5,通过仿真电路,如环形振荡器电路或单元电路自身,得到速度最快的PMOS和NMOS的宽度比值Rwidth,结合设计规则确定单元版图结构中的中线位置;
具体地,使用不同尺寸的INV搭建环形振荡器(Ring Oscillator)电路,并仿真其频率,或单独仿真不同尺寸的INV的频率,均可得到速度最快的PMOS和NMOS的宽度比值Rwidth,通常Rwidth为1.0~2.0;
步骤6,通过标准单元版图高度、设计规则、中线位置信息,计算得到单元驱动部分PMOS可用鳍片最大值Fp、NMOS可用鳍片最大值Fn、PMOS有源区最大宽度Wp和NMOS有源区最大宽度Wn,以此作为1倍驱动能力单元驱动部分的基本尺寸,确定驱动部分的版图布局;
具体地,包括如下步骤:
步骤A1,根据标准单元版图高度、设计规则和中线位置信息计算标准单元有源区宽度的最大值Wmax;
步骤A2,根据标准单元有源区宽度的最大值Wmax、鳍片在垂直方向上的格点间距Sf、鳍片最小宽度的容许值Wf计算标准单元可用鳍片最大值Fmax;
其中,标准单元可用鳍片最大值Fmax与标准单元有源区宽度的最大值Wmax、鳍片在垂直方向上的格点间距Sf、鳍片最小宽度的容许值Wf之间的关系式为Fmax=2+(Wmax-2Wf)/Sf;
步骤A3,根据标准单元可用鳍片最大值、P/N width确定PMOS可用鳍片最大值Fp和NMOS可用鳍片最大值Fn;
其中,PMOS可用鳍片最大值Fp与标准单元可用鳍片最大值Fmax、P/N width之间的关系式为Fp=Fmax×Rwidth/(1+Rwidth),NMOS可用鳍片最大值Fn=Fmax-Fp;
步骤A4,计算确定PMOS有源区最大宽度Wp和NMOS有源区最大宽度Wn;
其中,PMOS有源区最大宽度Wp=(Fp-1)×Sf+Wf,NMOS有源区最大宽度Wn=Wmax-Wp;
步骤7,利用仿真迭代调整标准单元逻辑部分的有源区宽度,使得标准单元的速度最佳。
标准单元的最后一级,即连接输出信号的一级通路为驱动部分,其余为逻辑部分,但标准单元中,也可以不包括逻辑部分。
本发明的具体实施例,设计一个缓冲器的版图,具体步骤如下:
步骤1,根据设计规则,确定鳍片(Fin)在垂直方向上的格点格点间距Sf、Fin最小宽度的容许值Wf,计算得到有源区的可选宽度W,其中W=Wf+Sf×n,n为正整数,本实施例以Sf=48nm、Wf=10nm为例,则有源区的可选宽度W=10+48n;
步骤2,根据设计规则,确定多晶硅宽度的容许值Wpo,多晶硅在水平方向上的间距Spo,通过公式Wpp=Wpo+Spo计算得到单元版图结构中宽度参考指标Wpp,如图3所示;
FinFET单元版图在水平方向上的宽度必须为宽度参考指标Wpp的整数倍,本实施例以Wpo=18nm,Spo=72nm为例,Wpp=18+72=90nm,因此本实施例所示的单元版图宽度必须为90nm的整数倍;
步骤3,根据设计规则,确定金属层(Metal)的最小宽度Wm和间距Sm,得到金属布线轨道宽度Wv=Sm+Wm,即单元版图的高度参考指标T,本发明以Wm=Sm=32nm为例,Wv=64nm;
本实施例的标准单元库,以高速为设计需求单元高度H为9T,即576nm;
步骤4,通过使用INV搭建缓冲器电路,并仿真其频率,得到速度最快的PMOS和NMOS的宽度比值Rwidth,该宽度比值Rwidth一般在1.0~2.0内,在本实施例采用的FinFET结构中,宽度比值Rwidth在4/3时速度最佳,因此结合设计规则,确定单元的版图结构中的中线位置为H/2位置处,即在288nm的高度;
步骤5,通过单元版图高度、设计规则、中线位置信息,计算出版图单元有源区宽度的最大值;
由于本实施例设计所使用工艺平台与有源区AA相关层次的设计规则限制以及PMOS、NMOS区域有源区必须相互独立,因此单元版图高度576nm中,有316nm不能放置有源区AA,计算出的版图单元有源区宽度的最大值Wmax=260nm;
通过公式Fmax=2+(Wmax-2Wf)/Sf计算出标准单元可用鳍片最大值Fmax=2+(260-2×10)/48=7;
结合速度最快的PMOS和NMOS的宽度比值Rwidth,计算PMOS可用鳍片最大值Fp=Fmax×Rwidth/(1+Rwidth)=4,NMOS可用鳍片最大值Fn=Fmax-Fp=3,因此所有1倍驱动单元的最后一级PMOS、NMOS管的Fin数分别为4、3;
步骤6,利用仿真迭代的设计方法,调整标准单元逻辑部分有源区的宽度;
本实施例通过仿真迭代,得到逻辑部分PMOS、NMOS的Fin数分别为4、3,即Wp=(Fp-1)×Sf+Wf=(4-1)×48+10=154nm,Wp=260-154=106nm时速度达到最佳,按照本实施例的方法设计的缓冲器门电路如图4所示,版图如图5所示,其中,AA指有源区,NW指N阱,FIN指鳍,PO指多晶硅,POC指切断多晶硅层,M1指金属一层。
与现有的标准单元库版图设计方法相比,本发明针对FinFET结构的版图设计方法有益之处在于:
第一,本发明通过标准单元库的高度以及通过环形振荡器电路、或单元电路本身仿真得到的速度最快的P/N width的比值获得标准单元版图结构中的中线位置,从而提高标准单元库的速度;
第二,本发明中FinFET单元版图在水平方向上的宽度为多晶硅间距的正整数倍,可以有效地增大标准单元的设计空间。
以上通过具体实施例对本发明进行了详细的说明,该实施例仅仅是本发明的较佳实施例,本发明并不局限于上述实施方式。在不脱离本发明原理的情况下,本领域的技术人员做出的等效置换和改进,均应视为在本发明所保护的技术范畴内。

Claims (17)

1.一种基于FinFET结构的高速标准单元库版图设计方法,其特征在于,包括如下步骤:
步骤1,根据设计规则,确定鳍片在垂直方向上的格点间距Sf以及鳍片最小宽度的容许值Wf,得到有源区的可选宽度W;
步骤2,根据设计规则,确定多晶硅宽度的容许值Wpo以及多晶硅在水平方向上的间距Spo,得到多晶硅间距Wpp,所述多晶硅间距Wpp为单元版图的宽度参考指标;
步骤3,根据设计规则,确定金属层的最小宽度Wm和间距Sm,得到金属布线轨道宽度Wv,所述金属布线轨道宽度为单元版图的高度参考指标T;
步骤4,根据单元库的设计需求确定标准单元版图高度H;
步骤5,通过仿真电路,得到速度最快的PMOS和NMOS的宽度比值Rwidth,结合设计规则确定单元版图结构中的中线位置;
步骤6,通过标准单元版图高度、设计规则、中线位置信息,计算得到单元驱动部分PMOS可用鳍片最大值Fp、NMOS可用鳍片最大值Fn、PMOS有源区最大宽度Wp和NMOS有源区最大宽度Wn,确定驱动部分的版图布局;
步骤7,利用仿真迭代调整标准单元逻辑部分的有源区宽度,使得标准单元的速度最佳。
2.根据权利要求1所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,在步骤1中,有源区的可选宽度W与鳍片在垂直方向上的格点间距Sf、鳍片最小宽度的容许值Wf的关系式为W=Wf+Sf×n,其中n为正整数。
3.根据权利要求1所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,在步骤2中,多晶硅间距Wpp与多晶硅宽度的容许值Wpo、多晶硅在水平方向上的间距Spo的关系式为Wpp=Wpo+Spo。
4.根据权利要求1所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,FinFET单元版图在水平方向上的宽度为多晶硅间距的正整数倍。
5.根据权利要求1所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,在步骤3中,金属布线轨道宽度Wv与金属层的最小宽度Wm、金属层的间距Sm的关系式为Wv=Wm+Sm。
6.根据权利要求1所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,在步骤4中,所述标准单元版图高度为金属布线轨道宽度的倍数。
7.根据权利要求6所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,所述标准单元版图高度为金属布线轨道宽度的7~12倍。
8.根据权利要求7所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,所述标准单元版图高度与金属布线轨道宽度的比值为整数。
9.根据权利要求7所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,所述标准单元版图高度与金属布线轨道宽度的比值为非整数。
10.根据权利要求1所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,在步骤5中,仿真电路为环形振荡器电路或单元电路自身。
11.根据权利要求1所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,在步骤5中,速度最快的PMOS和NMOS的宽度比值Rwidth为1.0~2.0。
12.根据权利要求1所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,步骤6具体包括如下:
步骤A1,根据标准单元版图高度、设计规则和中线位置信息计算标准单元有源区宽度的最大值Wmax;
步骤A2,根据标准单元有源区宽度的最大值Wmax、鳍片在垂直方向上的格点间距Sf、鳍片最小宽度的容许值Wf计算标准单元可用鳍片最大值Fmax;
步骤A3,根据标准单元可用鳍片最大值Fmax、P/N width确定PMOS可用鳍片最大值Fp和NMOS可用鳍片最大值Fn;
步骤A4,计算确定PMOS有源区最大宽度Wp和NMOS有源区最大宽度Wn。
13.根据权利要求12所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,在步骤A2中,标准单元可用鳍片最大值Fmax与标准单元有源区宽度的最大值Wmax、鳍片在垂直方向上的格点间距Sf、鳍片最小宽度的容许值Wf的关系式为Fmax=2+(Wmax-2Wf)/Sf。
14.根据权利要求12所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,在步骤A3中,PMOS可用鳍片最大值Fp与标准单元可用鳍片最大值Fmax、PMOS和NMOS的宽度比值Rwidth之间的关系式为Fp=Fmax×Rwidth/(1+Rwidth),NMOS可用鳍片最大值Fn=Fmax-Fp。
15.根据权利要求14所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,在步骤A4中,PMOS有源区最大宽度Wp=(Fp-1)×Sf+Wf,NMOS有源区最大宽度Wn=Wmax-Wp。
16.根据权利要求1所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,标准单元包括驱动部分和逻辑部分,且标准单元连接输出信号的一级通路为驱动部分,其余为逻辑部分。
17.根据权利要求1所述的基于FinFET结构的高速标准单元库版图设计方法,其特征在于,标准单元包括驱动部分,且标准单元连接输出信号的一级通路为驱动部分。
CN201910382478.8A 2019-05-09 2019-05-09 基于FinFET结构的高速标准单元库版图设计方法 Active CN110232213B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910382478.8A CN110232213B (zh) 2019-05-09 2019-05-09 基于FinFET结构的高速标准单元库版图设计方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910382478.8A CN110232213B (zh) 2019-05-09 2019-05-09 基于FinFET结构的高速标准单元库版图设计方法

Publications (2)

Publication Number Publication Date
CN110232213A CN110232213A (zh) 2019-09-13
CN110232213B true CN110232213B (zh) 2023-06-13

Family

ID=67860474

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910382478.8A Active CN110232213B (zh) 2019-05-09 2019-05-09 基于FinFET结构的高速标准单元库版图设计方法

Country Status (1)

Country Link
CN (1) CN110232213B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110690215A (zh) * 2019-11-13 2020-01-14 上海华力微电子有限公司 基于FinFET小面积标准单元的版图结构
CN113221487B (zh) * 2021-04-27 2024-04-23 上海华虹宏力半导体制造有限公司 标准单元版图拉伸方法
CN113723040B (zh) * 2021-08-10 2022-06-03 广芯微电子(广州)股份有限公司 一种数字模拟混合电路中数字版图布局的方法及其装置
CN117116932A (zh) * 2023-07-28 2023-11-24 合芯科技(苏州)有限公司 一种基于7nm FinFET工艺下标准单元版图结构

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100838378B1 (ko) * 2006-09-29 2008-06-13 주식회사 하이닉스반도체 핀트랜지스터의 제조 방법
CN105718611B (zh) * 2014-12-02 2019-03-15 中国科学院微电子研究所 FinFET工艺标准单元库版图结构设计方法
CN107798197B (zh) * 2017-10-31 2021-05-21 上海华力微电子有限公司 一种减小wpe效应的标准单元库版图设计方法

Also Published As

Publication number Publication date
CN110232213A (zh) 2019-09-13

Similar Documents

Publication Publication Date Title
CN110232213B (zh) 基于FinFET结构的高速标准单元库版图设计方法
US8276109B2 (en) Mixed-height high speed reduced area cell library
JP2695078B2 (ja) データ処理装置クロック信号の分配方法
US6938226B2 (en) 7-tracks standard cell library
US8230380B2 (en) High speed reduced area cell library with cells having integer multiple track heights
US7795943B2 (en) Integrated circuit device and layout design method therefor
US20070256044A1 (en) System and method to power route hierarchical designs that employ macro reuse
Lin et al. LES: A layout expert system
US6385761B1 (en) Flexible width cell layout architecture
US5369596A (en) Semiconductor integrated circuit fabrication method
EP3503183B1 (en) Semiconductor device
US20240037309A1 (en) Multiplexer
TWI661514B (zh) 在用於路由之第一金屬層中採用金屬線之互補金氧半導體標準單元電路及其相關方法
CN112183000A (zh) 一种支持互连约束的超图划分方法
US7062739B2 (en) Gate reuse methodology for diffused cell-based IP blocks in platform-based silicon products
US6260181B1 (en) Integrated circuit and the design method thereof
US11392743B2 (en) Multiplexer
WO2002086772A2 (en) Optimal simultaneous design and floorplanning of integrated circuit
US6584599B2 (en) Apparatus and method of layout generation, and program thereof
JP4743469B2 (ja) 半導体集積回路装置とクロック分配方法
US8458638B2 (en) Cell library, integrated circuit, and methods of making same
US6738959B2 (en) Method and apparatus for assigning nets to metal layers during circuit routing
US20190012422A1 (en) Design layouts for connecting contacts with metal tabs or vias
JP2014103254A (ja) 半導体装置およびその製造方法
US7205684B2 (en) Semiconductor integrated circuit device and method for designing the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant