CN109933488B - 芯片温度计算方法及芯片温度计算装置 - Google Patents

芯片温度计算方法及芯片温度计算装置 Download PDF

Info

Publication number
CN109933488B
CN109933488B CN201711453506.8A CN201711453506A CN109933488B CN 109933488 B CN109933488 B CN 109933488B CN 201711453506 A CN201711453506 A CN 201711453506A CN 109933488 B CN109933488 B CN 109933488B
Authority
CN
China
Prior art keywords
layer
thermal resistance
chip
boundary condition
nth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711453506.8A
Other languages
English (en)
Other versions
CN109933488A (zh
Inventor
简恒杰
吴升财
戴明吉
沈志明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Industrial Technology Research Institute ITRI
Original Assignee
Industrial Technology Research Institute ITRI
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Industrial Technology Research Institute ITRI filed Critical Industrial Technology Research Institute ITRI
Publication of CN109933488A publication Critical patent/CN109933488A/zh
Application granted granted Critical
Publication of CN109933488B publication Critical patent/CN109933488B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/08HW-SW co-design, e.g. HW-SW partitioning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation

Abstract

本发明公开一种芯片温度计算方法及芯片温度计算装置。温度计算方法包括:计算芯片的上层热阻及下层热阻,计算芯片的整体热阻,并根据整体热阻计算芯片的温度。

Description

芯片温度计算方法及芯片温度计算装置
技术领域
本发明涉及一种芯片温度计算方法及芯片温度计算装置,且特别是涉及一种将封装架构分层求出各层热阻的解以计算封装架构内的芯片温度的芯片温度计算方法及芯片温度计算装置。
背景技术
无论在芯片设计阶段或封装设计阶段,完整设计流程均包含产品定义、性能分析,与性能验证三个阶段。目前在产品定义阶段,大多依靠工程师的专业经验,并搭配快速性能估算工具,或利用简化模型模拟,来进行产品形式定义与选择。目前快速性能估算工具的准确性不佳(例如:小于90%),而简化模型模拟的缺点则是耗时(例如:超过10分钟),均不利于产品设计初期的性能优化设计。
在芯片设计初期阶段,已可获知芯片功耗性能,但是因为难以事先定义封装形式与性能,因此难以评估日后芯片在实际选用的封装与系统条件下的温度性能。传统的产品开发流程为序列式流程,芯片开发完成后,交由封装厂进行封装设计,之后进行产品系统设计。序列式开发流程之下,许多性能问题会在开发阶段中后期才陆续出现,设计者仅能采取补救性措施,不利于产品的最适化设计。
目前事先进行芯片温度评估的方法包括了细节模型模拟(Detailed modelsimulation)、等效模型模拟(Equivalent model simulation)、及简略温度模型估算(Compact thermal model evaluation)。细节模型模拟的准确度高,但相当耗时且计算资源需求相当大。等效模型模拟的准确度尚可,但也需要不少耗时。简略温度模型估算也需要不少耗时,且准确度的不确定性相当高。因此,如何设计一套产品开发初期的平台工具,能够在短时间内提供足够准确的性能估算分析,以避免产生设计过度/设计不足的问题,是本领域技术人员应该致力的目标。
发明内容
本发明提供一种芯片温度计算方法及芯片温度计算装置,可缩短计算封装架构内芯片温度的时间,仍同时具有较高的准确性。
本发明提出一种芯片温度计算方法,适用于计算封装架构内的芯片的温度。封装架构包括芯片、芯片的至少一上层及芯片的多个下层。芯片温度计算方法包括:计算对应至少一上层的上层热阻及对应上述下层的下层热阻;以及根据上层热阻及下层热阻计算芯片的整体热阻,并根据整体热阻计算芯片的温度。上述计算下层热阻的步骤包括:建立每一下层的热阻性能数据库及等效材料参数;获得上述下层的第N层的边界条件;以及根据第N层的边界条件、等效材料参数及第N层的热阻性能数据库获得第N层的热阻,并将第N层的热阻转换成上述下层的第N+1层的边界条件,其中第N层与芯片的距离比第N+1层与芯片的距离远。
在本发明的一实施例中,上述计算下层热阻的步骤还包括:将每一下层的热阻的加总,加上对应上述下层的边界条件热阻来获得下层热阻,其中边界条件热阻根据上述下层的第一层的边界条件及第一层的截面积来获得。
在本发明的一实施例中,上述计算上层热阻的步骤包括:建立至少一上层的热阻性能数据库及等效材料参数;获得至少一上层的第M层的边界条件;根据第M层的边界条件、等效材料参数及第M层的热阻性能数据库获得第M层的热阻,并将第M层的热阻转换成至少一上层的第M+1层的边界条件,其中第M层与芯片的距离比第M+1层与芯片的距离远;以及根据每一至少一上层的热阻来获得上层热阻。
在本发明的一实施例中,上述将第N层的热阻转换成些下层的第N+1层的边界条件的步骤包括:根据第N层的热阻及第N+1层的截面积来获得第N+1层的边界条件,或是根据第N层的热阻、第N-1层的热阻及第N+1层的截面积来获得第N+1层的边界条件。
在本发明的一实施例中,上述根据第N层的边界条件、等效材料参数及第N层的热阻性能数据库获得第N层的热阻的步骤包括:将第N层的边界条件及等效材料参数输入第N层的热阻性能数据库,并根据机器学习模块来获得第N层的热阻,其中机器学习模块包括类神经网络演算法、决策树演算法或随机森林演算法。
在本发明的一实施例中,每一上述下层的热阻性能数据库通过数学解析解(analytical solution)、数学半经验公式(semi-empirical solution)或电脑模拟方法(simulation)来建立。
在本发明的一实施例中,上述至少一上层包括封模(mold)层,且上述下层包括印刷电路板(Printed Circuit Board,PCB)层、凸块(bump)层及重分布层(Re-DistributionLayer,RDL)。
本发明提出一种一种芯片温度计算装置,适用于计算封装架构内的芯片的温度。封装架构包括芯片、芯片的至少一上层及芯片的多个下层。芯片温度计算装置包括:处理器;以及存储器,耦接到处理器。上述处理器用以根据各个上述下层的边界条件、热阻性能数据库及等效材料参数,获得对应上述下层的下层热阻;根据上述上层的边界条件、热阻性能数据库及等效材料参数,获得对应上述上层的上层热阻;以及根据下层热阻及上层热阻计算芯片的温度,其中上述下层的第N层的热阻关联于上述下层的第N+1层的边界条件,且第N层与芯片的距离比第N+1层与芯片的距离远。
在本发明的一实施例中,上述处理器获得上述下层的第N层的边界条件;根据第N层的边界条件、等效材料参数及第N层的热阻性能数据库获得第N层的热阻,并将第N层的热阻转换成上述下层的第N+1层的边界条件;以及根据每一下层的热阻来获得下层热阻。
在本发明的一实施例中,上述处理器将每一下层的热阻的加总加上对应上述下层的边界条件热阻来获得下层热阻,其中边界条件热阻根据上述下层的第一层的边界条件及第一层的截面积来获得。
在本发明的一实施例中,上述处理器建立至少一上层的热阻性能数据库及等效材料参数;获得至少一上层的第M层的边界条件;根据第M层的边界条件、等效材料参数及第M层的热阻性能数据库获得第M层的热阻,并将第M层的热阻转换成至少一上层的第M+1层的边界条件,其中第M层与芯片的距离比第M+1层与芯片的距离远;以及根据每一至少一上层的热阻来获得上层热阻。
在本发明的一实施例中,上述处理器根据第N层的热阻及第N+1层的截面积来获得第N+1层的边界条件,或是根据第N层的热阻、第N-1层的热阻及第N+1层的截面积来获得第N+1层的边界条件。
在本发明的一实施例中,上述处理器将第N层的边界条件及等效材料参数输入第N层的热阻性能数据库,并根据机器学习模块来获得第N层的热阻,其中机器学习模块包括类神经网络演算法、决策树演算法或随机森林演算法。
在本发明的一实施例中,每一上述下层的热阻性能数据库通过数学解析解、数学半经验公式或电脑模拟方法来建立。
在本发明的一实施例中,上述至少一上层包括封模层,且上述下层包括印刷电路板层、凸块层及重分布层。
基于上述,本发明的芯片温度计算方法及芯片温度计算装置会计算封装架构内的芯片的上层热阻及下层热阻来获得芯片的整体热阻,并根据整体热阻来计算芯片温度。在建立热阻性能数据库时,会将封装架构拆成多层结构并建立各层的热阻性能数据库而非整个封装架构的热阻性能数据库,以大幅减少性能数据库所记录的数据量。通过各层的热阻性能数据库,可分别获得各层的热阻性能并将单一层的热阻转换成此单一层的上层的边界条件并将此边界条件用来计算此单一层的上层的热阻性能。如此一来,就能快速地计算出封装架构内的芯片的上层热阻及下层热阻,从而获得芯片的整体热阻并计算出芯片温度。
为让本发明的上述特征和优点能更明显易懂,下文特举实施例,并配合所附的附图作详细说明如下。
附图说明
图1为本发明一实施例的芯片温度计算装置的方块图;
图2A及图2B为本发明一实施例的封装架构的示意图;
图3为本发明一实施例的封装架构的分层结构的示意图;
图4为本发明一实施例的分层热阻计算方法的流程图;
图5为本发明一实施例的热阻与边界条件转换的示意图;
图6为本发明一实施例的芯片分层热阻的示意图。
符号说明
100:芯片温度计算装置
110:处理器
120:存储器
201:印刷电路板层
203:凸块层
205:重分布层
207:封模层
209、219、519:芯片
211、511:印刷电路板层等效结构
213、513:凸块层等效结构
215、515:重分布层等效结构
217:封模层等效结构
301:第一下分离层
302:第二下分离层
303:第三下分离层
311:第一上分离层
401、402、403、410_1~410_N、411_1~411_N、412、413_1~413_N、414_1、414_2:分层热阻计算方法的步骤512、514、516:热源
具体实施方式
当在此所使用,「及/或」的用语包含相关联的所列项目的一个或更多个的任意结合或所有的结合。当表述如「至少一个(at least one of)」前缀于元件列表时,是用来修饰整个元件列表而非修饰列表中的个别元素。因此,例示性实施例能够作各种修改与替换物形式,其实施例是通过在附图中以范例方式显示而将在此详细描述。然而,其应该理解的是,本发明并不是将例示性实施例限制于所公开的特定形式,但相反地,例示性实施例是用来涵盖在本发明的范围之内的所有修改、等效技术以及替代技术。当描述本发明时,可能会模糊本发明的焦点的有关于相关的已知功能或构造的详细描述可省略不述。应理解的是,虽然在此可能使用第一、第二等等用语描述各种元件,但是这些元件不应被这些用语所限制。这些用语仅用于一元件与另一元件之间的区别。应理解的是当一元件或层被称为「形成在」或「位于」另一元件或层「上」,其能够直接或间接形成在其他元件或层上。亦即,例如,可出现中介元件或层。本发明将参阅其中显示本发明的例示性实施例的附图而更完整地描述。附图中相似的元件是通过相似的参考符号标示,且其重复的说明将不在赘述。为了清楚呈现,附图中层与区域的厚度是被放大。附图中,层与区域的厚度是为了说明方便而夸大呈现。
图1为根据本发明一实施例的芯片温度计算装置的方块图。
请参照图1,本发明的芯片温度计算装置100包括处理器110及耦接到处理器110的存储器120。
处理器110可以是中央处理单元(Central Processing Unit,CPU),或是其他可编程的一般用途或特殊用途的微处理器(Microprocessor)、数位信号处理器(DigitalSignal Processor,DSP)、可编程控制器、特殊应用集成电路(Application SpecificIntegrated Circuit,ASIC)或其他类似元件或上述元件的组合。
存储器120可以是任何型态的固定或可移动随机存取存储器(Random AccessMemory,RAM)、只读存储器(Read-Only Memory,ROM)、闪存存储器(flash memory)、硬盘(Hard Disk Drive,HDD)、固态硬盘(Solid State Drive,SSD)或类似元件或上述元件的组合。
在一实施例中,处理器110可执行下文中所有机器学习、热阻计算、芯片温度计算、建立分层的热阻性能数据库等操作,而分层的热阻性能数据库及机器学习模块则可存储在存储器120中。在另一实施例中,机器学习模块也可通过运算电路来实现。
图2A及图2B为根据本发明一实施例的封装架构的示意图。
请参照图2A,图2A绘示了本发明待分析的真实封装架构。待分析的真实封装架构包括印刷电路板层201、凸块层203、重分布层205、封模层207及芯片209。凸块层203可包括球栅阵列(Ball Grid Array,BGA)封装。在进行芯片温度计算之前,处理器110会先将图2A中的待分析的真实封装架构转换为图2B中的简化的等效结构,其中简化的等效结构包括印刷电路板层等效结构211、凸块层等效结构213、重分布层等效结构215、封模层等效结构217及芯片219。
图3为根据本发明一实施例的封装架构的分层结构的示意图。
具体来说,本实施例的芯片温度计算方法会将封装架构拆解成芯片上下方向的多个分离层,例如第一下分离层301、第二下分离层302、第三下分离层303及第一上分离层311。第一下分离层301、第二下分离层302、第三下分离层303及第一上分离层311分别对应到封装架构中的印刷电路板层等效结构211、凸块层等效结构213、重分布层等效结构215及封模层等效结构217。
首先,处理器110会将第一下分离层301的边界条件h1D输入机器学习模块(即,热阻求解器)来求解第一下分离层301的热阻θ1D,并能获得第一下分离层301上表面的温度。机器学习模块可执行类神经网络演算、决策树演算或随机森林演算,用来根据一个分离层的边界条件及分离层的材料、尺寸等参数来计算出此分离层的热阻。在一实施例中,h1D可在第一下分离层301具有自然对流条件时设定为3W/m2K到20W/m2K之间。在另一实施例中,h1D可在第一下分离层301具有强制对流条件(即,有气流通过但无散热元件)时设定为大于50W/m2K。
接着,处理器110会将第一下分离层301的热阻θ1D转换为第二下分离层302的边界条件h2D,并将第二下分离层302的边界条件h2D输入机器学习模块来求解第二下分离层302的热阻θ2D,并获得第二下分离层302上表面的温度。类似地,处理器110会将第二下分离层302的热阻θ2D转换为第三下分离层303的边界条件h3D,并将第三下分离层303的边界条件h3D输入机器学习模块来求解第三下分离层303的热阻θ3D,并能同时获得第三下分离层303上表面的温度。
将一个分离层的热阻转换为上方分离层的边界条件的详细过程,将在下文中进行描述。由于一个分离层的上表面的温度可通过此分离层的热阻及芯片的功率来获得,因此将一个分离层的热阻转换为上方分离层的边界条件也可视为将一个分离层的上表面温度转换为上方分离层的边界条件。
此外,处理器110也会将第一上分离层311的边界条件h1U输入机器学习模块来求解第一上分离层311的热阻θ1U。在一实施例中,h1U可在第一上分离层311具有自然对流条件时设定为3W/m2K到20W/m2K之间。在另一实施例中,h1U可在第一上分离层311具有强制对流条件(即,有气流通过但无散热元件)时设定为大于50W/m2K。在另一实施例中,h1U可在第一上分离层311接触散热元件时设定为h1U=1/(θheatsink×A),其中θheatsink为散热元件的热阻性能且A为散热元件与第一上分离层311(即,封模层)的接触面积。
如此一来,处理器110就能获得芯片219的向下方向(即,垂直芯片219的下表面的直线方向)的热阻θD=θ1D2D3D,并获得芯片219的向上方向(即,垂直芯片219的上表面的直线方向)的热阻θU=θ1U,并根据θD及θU来获得整体封装热阻值
Figure GDA0003795439120000081
在本实施例中,处理器110可将芯片219两侧的热阻值θD及θU代入芯片模型进行芯片温度分布模拟,或是计算芯片平均温度Tchip=Pchip×θchip+Tamb,其中Pchip为芯片功耗且Tamb为环境温度。
图4为根据本发明一实施例的分层热阻计算方法的流程图。
请参照图4,在步骤401中,进行芯片的封装形式选择。在步骤402中,定义封装的分析层数。在步骤403中,定义封装各层结构的等效材料性质。在步骤410_1中,会输入第1层结构的尺寸、性质(即,等效材料参数)及边界条件到步骤411_1的第1层热阻性能数据库中,再通过步骤412机器学习分析器(即,机器学习模块)的计算而在步骤413_1中获得第1层的结构热阻性能。在获得第1层的结构热阻性能之后,会在步骤414_1中将第1层热阻转换为第2层的边界条件,以作为步骤410_2中的边界条件输入。本实施例中的第1层到第N层是封装架构中芯片上方或下方的分离层。第1层离芯片最远而第N层离芯片最近。
经由上述多次迭代,就可在步骤413_N中获得第N层的结构热阻性能。在获得所有分离层热阻后,就可计算封装总体热阻及芯片温度。封装总体热阻的计算方法已在图3中说明,因此不再赘述。
通过建立各分离层的热阻性能数据库,可以大幅减少封装架构整体的性能数据库的总数据量。举例来说,若足够描述整体封装架构的特征有19个(例如,各层的长、宽、高及热传导系数等特征),而每项特征各取4个变量,则封装架构整体的性能数据库必须存储419笔数据,这是相当大的数据量。若将相同的封装架构分成三层,分别具有7个、5个、7个特征,且每项特征各取4个变量,则每层的性能数据库只要分别存储47=16384、45=1024、47=16384笔数据。由于数据量的大幅减少,在进行分层热阻计算时所花费的时间也会大幅小于直接经由封装架构整体的性能数据库来计算封装架构整体热阻的时间。
在本实施例中,各分离层的热阻性能数据库可由数学解析解、数学半经验公式或电脑模拟方法来建立。举例来说,以下表一为热阻性能数据库的一范例。在此范例中,热阻性能数据库可具有边界条件、热源长、热源宽、载板长、载板宽、载板厚度、横向热传导及纵向热传导等特征值及对应的热阻性能结果。每个特征值都具有多种变量,例如在表一中,边界条件可为5、8、12、3、20等值。
表一
Figure GDA0003795439120000091
图5为根据本发明一实施例的热阻与边界条件转换的示意图。
请参照图5,图5绘示了印刷电路板层等效结构511、凸块层(或焊接层)等效结构513、重分布层等效结构515及芯片519。印刷电路板层等效结构511对应的边界条件为hD,且边界条件hD对应的热阻值(即,边界条件热阻)
Figure GDA0003795439120000092
其中APCB为印刷电路板层等效结构511的截面积。凸块层等效结构513的边界条件可利用印刷电路板层等效结构511的热阻转换而来,例如:
Figure GDA0003795439120000101
其中Abump为凸块层等效结构513的截面积。重分布层等效结构515的边界条件可利用凸块层等效结构513的热阻加上部分印刷电路板层等效结构511的热阻转换而来,例如:
Figure GDA0003795439120000102
Figure GDA0003795439120000103
其中ARDL为重分布层等效结构515的截面积,且C0可为经过归纳分析所产生的半经验方程式。在一实施例中,C0可为包括θPCB、under Achip、under Abump参数的函式,即C0=f(θPCB,under Achip,under Abump),其中underAchip为芯片519下表面的截面积,under Abump为凸块层等效结构513下表面的截面积。在本实施例中,热源512、热源514及热源516分别代表了一分离层与其上方分离层的接触面积,也就是芯片519产生的热通量通过各分离层的截面积。
图6为根据本发明一实施例的芯片分层热阻的示意图。
请同时参照图5及图6,从图5中可计算出芯片519的下层热阻
Figure GDA0003795439120000104
Figure GDA0003795439120000105
类似于图5,也可计算出芯片519的上层热阻
Figure GDA0003795439120000106
因此通过芯片519上层及下层热阻的并联计算,就可获得芯片519对外界的整体热阻
Figure GDA0003795439120000107
而芯片温度就可利用芯片功耗及芯片热阻计算出,例如Tchip=Pchip×θchip。虽然在本实施例是计算各分离层热阻加总作为下层热阻或上层热阻,但本发明并不以此为限。在另一实施例中,也可将各分离层热阻配置一权重再计算加权后的各分离层热阻加总作为下层热阻或上层热阻。
综上所述,本发明的芯片温度计算方法及芯片温度计算装置会计算封装架构内的芯片的上层热阻及下层热阻来获得芯片的整体热阻,并根据整体热阻来计算芯片温度。在建立热阻性能数据库时,会将封装架构拆成多层结构并建立各层的热阻性能数据库而非整个封装架构的热阻性能数据库,以大幅减少性能数据库所记录的数据量。通过各层的热阻性能数据库,可分别获得各层的热阻性能并将单一层的热阻转换成此单一层的上层的边界条件并将此边界条件用来计算此单一层的上层的热阻性能。如此一来,就能在数秒之内计算出封装架构内的芯片的上层热阻及下层热阻,从而获得芯片的整体热阻并计算出芯片温度,同时具有大于95%的高度准确性。
虽然结合以上实施例公开了本发明,然而其并非用以限定本发明,任何所属技术领域中具有通常知识者,在不脱离本发明的精神和范围内,可作些许的更动与润饰,故本发明的保护范围应当以附上的权利要求所界定的为准。

Claims (12)

1.一种芯片温度计算方法,适用于计算一封装架构内的一芯片的温度,该封装架构包括该芯片、该芯片的至少一上层及该芯片的多个下层,其特征在于,该芯片温度计算方法包括:
计算对应该至少一上层的一上层热阻及对应该些下层的一下层热阻;以及
根据该上层热阻及该下层热阻计算该芯片的一整体热阻,并根据该整体热阻计算该芯片的一温度,
其中计算该上层热阻的步骤包括:
建立该至少一上层的热阻性能数据库及等效材料参数,其中等效材料参数包括结构的尺寸与性质,热阻性能数据库包括有该至少一上层的热传导系数、热源长、热源宽、载板长、载板宽、载板厚度、横向热传导、纵向热传导,或热阻性能;
获得该至少一上层的第M层的边界条件,其中边界条件包括有热传导系数;
根据该第M层的该边界条件、该等效材料参数及该第M层的该热阻性能数据库获得该第M层的热阻,并将该第M层的热阻转换成该至少一上层的第M+1层的该边界条件,其中该第M层与该芯片的距离比该第M+1层与该芯片的距离远;以及
根据每一该至少一上层的热阻来获得该上层热阻;
其中计算该下层热阻的步骤包括:
建立每一该些下层的一热阻性能数据库及一等效材料参数;
获得该些下层的一第N层的一边界条件;以及
根据该第N层的该边界条件、该等效材料参数及该第N层的该热阻性能数据库获得该第N层的热阻,并将该第N层的热阻转换成该些下层的一第N+1层的该边界条件,其中该第N层与该芯片的距离比该第N+1层与该芯片的距离远。
2.如权利要求1所述的芯片温度计算方法,其中计算该下层热阻的步骤还包括:
将每一该些下层的热阻的加总,加上对应该些下层的一边界条件热阻来获得该下层热阻,其中该边界条件热阻根据该些下层的一第一层的该边界条件及该第一层的截面积来获得。
3.如权利要求1所述的芯片温度计算方法,其中将该第N层的热阻转换成该些下层的该第N+1层的该边界条件的步骤包括:
根据该第N层的热阻及该第N+1层的截面积来获得该第N+1层的该边界条件,或是根据该第N层的热阻、一第N-1层的热阻及该第N+1层的截面积来获得该第N+1层的该边界条件。
4.如权利要求1所述的芯片温度计算方法,其中根据该第N层的该边界条件、该等效材料参数及该第N层的该热阻性能数据库获得该第N层的热阻的步骤包括:
将该第N层的该边界条件及该等效材料参数输入该第N层的该热阻性能数据库,并根据一机器学习模块来获得该第N层的热阻,其中该机器学习模块包括一类神经网络演算法、一决策树演算法或一随机森林演算法。
5.如权利要求1所述的芯片温度计算方法,其中每一该些下层的该热阻性能数据库通过一数学解析解、一数学半经验公式或一电脑模拟方法来建立。
6.如权利要求1所述的芯片温度计算方法,其中该至少一上层包括一封模层,且该些下层包括一印刷电路板层、一凸块层及一重分布层。
7.一种芯片温度计算装置,适用于计算一封装架构内的一芯片的温度,该封装架构包括该芯片、该芯片的至少一上层及该芯片的多个下层,其特征在于,该芯片温度计算装置包括:
处理器;以及
存储器,耦接到该处理器,其中该处理器用以
计算对应该至少一上层的一上层热阻及对应该些下层的一下层热阻;以及
根据该上层热阻及该下层热阻计算该芯片的一整体热阻,并根据该整体热阻计算该芯片的一温度,
其中计算该上层热阻的步骤包括:
建立该至少一上层的热阻性能数据库及等效材料参数,其中等效材料参数包括结构的尺寸与性质,热阻性能数据库包括有该至少一上层的热传导系数、热源长、热源宽、载板长、载板宽、载板厚度、横向热传导、纵向热传导,或热阻性能;
获得该至少一上层的第M层的边界条件,其中边界条件包括有热传导系数;
根据该第M层的该边界条件、该等效材料参数及该第M层的该热阻性能数据库获得该第M层的热阻,并将该第M层的热阻转换成该至少一上层的第M+1层的该边界条件,其中该第M层与该芯片的距离比该第M+1层与该芯片的距离远;以及
根据每一该至少一上层的热阻来获得该上层热阻;
其中计算该下层热阻的步骤包括:
建立每一该些下层的一热阻性能数据库及一等效材料参数;
获得该些下层的一第N层的一边界条件;以及
根据该第N层的该边界条件、该等效材料参数及该第N层的该热阻性能数据库获得该第N层的热阻,并将该第N层的热阻转换成该些下层的一第N+1层的该边界条件,其中该第N层与该芯片的距离比该第N+1层与该芯片的距离远。
8.如权利要求7所述的芯片温度计算装置,其中该处理器将每一该些下层的热阻的加总,加上对应该些下层的一边界条件热阻来获得该下层热阻,其中该边界条件热阻根据该些下层的一第一层的该边界条件及该第一层的截面积来获得。
9.如权利要求7所述的芯片温度计算装置,其中该处理器根据该第N层的热阻及该第N+1层的截面积来获得该第N+1层的该边界条件,或是根据该第N层的热阻、一第N-1层的热阻及该第N+1层的截面积来获得该第N+1层的该边界条件。
10.如权利要求7所述的芯片温度计算装置,其中该处理器将该第N层的该边界条件及该等效材料参数输入该第N层的该热阻性能数据库,并根据一机器学习模块来获得该第N层的热阻,其中该机器学习模块包括一类神经网络演算法、一决策树演算法或一随机森林演算法。
11.如权利要求7所述的芯片温度计算装置,其中每一该些下层的该热阻性能数据库通过一数学解析解、一数学半经验公式或一电脑模拟方法来建立。
12.如权利要求7所述的芯片温度计算装置,其中该至少一上层包括一封模层,且该些下层包括一印刷电路板层、一凸块层及一重分布层。
CN201711453506.8A 2017-12-15 2017-12-27 芯片温度计算方法及芯片温度计算装置 Active CN109933488B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
TW106144128A TWI689724B (zh) 2017-12-15 2017-12-15 晶片溫度計算方法及晶片溫度計算裝置
TW106144128 2017-12-15

Publications (2)

Publication Number Publication Date
CN109933488A CN109933488A (zh) 2019-06-25
CN109933488B true CN109933488B (zh) 2022-11-22

Family

ID=66816008

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711453506.8A Active CN109933488B (zh) 2017-12-15 2017-12-27 芯片温度计算方法及芯片温度计算装置

Country Status (3)

Country Link
US (1) US10540474B2 (zh)
CN (1) CN109933488B (zh)
TW (1) TWI689724B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111725163A (zh) * 2020-06-23 2020-09-29 扬州通信设备有限公司 一种降低热阻抗的电子开关安装方法
CN113361228A (zh) * 2021-06-29 2021-09-07 东风汽车集团股份有限公司 汽车车机散热系统的仿真方法、计算机设备及存储介质
CN113779742B (zh) * 2021-11-09 2022-03-04 飞腾信息技术有限公司 热阻网络简化模型建模方法、装置及芯片结温预测方法
CN116465515B (zh) * 2023-06-20 2023-09-22 苏州浪潮智能科技有限公司 芯片核心温度估计方法、装置、电子设备、计算机及介质
CN117034856B (zh) * 2023-10-10 2024-01-30 深圳鸿芯微纳技术有限公司 等效传热系数确定方法、装置、电子设备及存储介质

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1544954A (zh) * 2003-11-14 2004-11-10 佛山市国星光电科技有限公司 测试功率型led热阻的方法及其专用芯片
JP2007288012A (ja) * 2006-04-19 2007-11-01 Nec Electronics Corp 半導体パッケージの熱抵抗値算出方法及び熱抵抗値算出プログラム
CN102243668A (zh) * 2010-05-12 2011-11-16 北京师范大学 可热扩展的三维并行散热集成方法:用于大规模并行计算的片上系统关键技术
CN106407608A (zh) * 2016-10-27 2017-02-15 华北电力大学 一种考虑热耦合的压接igbt模块稳态结温预测模型
CN107315877A (zh) * 2017-06-28 2017-11-03 华北电力大学 一种预测功率器件结温的方法及系统

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3501644B2 (ja) 1998-02-02 2004-03-02 日本電気株式会社 半導体パッケージの熱抵抗計算方法および記録媒体および熱抵抗計算装置
US7353471B1 (en) * 2004-08-05 2008-04-01 Gradient Design Automation Inc. Method and apparatus for using full-chip thermal analysis of semiconductor chip designs to compute thermal conductance
WO2007070879A1 (en) 2005-12-17 2007-06-21 Gradient Design Automation, Inc. Simulation of ic temperature distributions using an adaptive 3d grid
US7383520B2 (en) 2004-08-05 2008-06-03 Gradient Design Automation Inc. Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs
US7472363B1 (en) * 2004-01-28 2008-12-30 Gradient Design Automation Inc. Semiconductor chip design having thermal awareness across multiple sub-system domains
US7366997B1 (en) 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
US8352230B2 (en) * 2010-03-12 2013-01-08 International Business Machines Corporation Integrated framework for finite-element methods for package, device and circuit co-design
TW201224748A (en) 2010-12-06 2012-06-16 Ind Tech Res Inst Transaction level system power estimation method and system
US9323870B2 (en) 2012-05-01 2016-04-26 Advanced Micro Devices, Inc. Method and apparatus for improved integrated circuit temperature evaluation and IC design
US10222272B2 (en) * 2012-07-24 2019-03-05 Renesas Electronics Corporation Semiconductor device and electronic apparatus
US8701073B1 (en) * 2012-09-28 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for across-chip thermal and power management in stacked IC designs
KR102521159B1 (ko) 2014-11-25 2023-04-13 피디에프 솔루션즈, 인코포레이티드 반도체 제조 공정을 위한 개선된 공정 제어 기술

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1544954A (zh) * 2003-11-14 2004-11-10 佛山市国星光电科技有限公司 测试功率型led热阻的方法及其专用芯片
JP2007288012A (ja) * 2006-04-19 2007-11-01 Nec Electronics Corp 半導体パッケージの熱抵抗値算出方法及び熱抵抗値算出プログラム
CN102243668A (zh) * 2010-05-12 2011-11-16 北京师范大学 可热扩展的三维并行散热集成方法:用于大规模并行计算的片上系统关键技术
CN106407608A (zh) * 2016-10-27 2017-02-15 华北电力大学 一种考虑热耦合的压接igbt模块稳态结温预测模型
CN107315877A (zh) * 2017-06-28 2017-11-03 华北电力大学 一种预测功率器件结温的方法及系统

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
"Failure Mode and Thermal Performance Analysis of Stacked Panel Level Package(PLP)";Hsiu-Ping Wei;《ASME 2007 InterPACK Conference,No.IPACK2007-33368,pp.693-701,2007/07/08-12》;20100108;第693-701页 *
"Research on test method of thermal resistance and junction temperature for LED modules";Dongyue Liu;《2016 17th International Conference on Thermal,Mechanical and Muiti-Physics Simulation and Experiments in Microelectronics and Microsystems》;20160420;第1-5页 *
"应用于三维叠层封装的硅通孔(TSV)建模及传热和加载分析";王宏明;《中国优秀硕士学位论文全文数据库(信息科技辑)》;20130331(第03期);第I135-217页 *

Also Published As

Publication number Publication date
US20190188357A1 (en) 2019-06-20
TWI689724B (zh) 2020-04-01
CN109933488A (zh) 2019-06-25
US10540474B2 (en) 2020-01-21
TW201928342A (zh) 2019-07-16

Similar Documents

Publication Publication Date Title
CN109933488B (zh) 芯片温度计算方法及芯片温度计算装置
CN105760624A (zh) 一种支持大规模三维集成电路的热仿真和热设计方法
CN109783970B (zh) 一种面向电子产品可靠性仿真分析的热分析方法
US8056027B2 (en) Characterizing thermomechanical properties of an organic substrate using three-dimensional finite element analysis
US8215829B2 (en) Method of analyzing thermal stress according to filling factor of filler in resin
Sivaranjani et al. Thermal-aware non-slicing VLSI floorplanning using a smart decision-making PSO-GA based hybrid algorithm
Wu et al. Design and optimization of 3D printed air-cooled heat sinks based on genetic algorithms
CN114896920B (zh) 一种三维堆叠芯片热仿真模型建立及热点温度预测方法
US20090030660A1 (en) Method and apparatus for generating fully detailed three-dimensional electronic package and pcb board models
Codecasa et al. Fast nonlinear dynamic compact thermal modeling with multiple heat sources in ultra-thin chip stacking technology
Xu et al. Surrogate thermal model for power electronic modules using artificial neural network
Monier-Vinard et al. Latest developments of compact thermal modeling of system-in-package devices by means of Genetic Algorithm
JP2007199961A (ja) 有限要素法解析モデルの解析方法、解析システム、及び解析プログラム
US20240028801A1 (en) Systems and methods for designing a module semiconductor product
JP2006252113A (ja) 基板解析方法及び装置及び基板解析用プログラム及びそれを記録した記録媒体
Monier-Vinard et al. Thermal modelling of the emerging multi-chip packages
Sun et al. Warpage simulation and DOE analysis with application in package-on-package development
Refai-Ahmed et al. Electronic PCB and PKG thermal stress analysis
CN115422882A (zh) 集成电路产品布线区域等效导热系数的批量化计算方法
US8776005B1 (en) Modeling mechanical behavior with layout-dependent material properties
Duca et al. A comprehensive study on BGA block warpage and prediction methodology
CN108733869B (zh) 一种大规模三维集成电路分区方法和装置
Monier-Vinard et al. Delphi style compact modeling by means of genetic algorithms of system in Package devices using composite sub-compact thermal models dedicated to model order reduction
Fourmigue et al. Transient thermal simulation of liquid-cooled 3-D circuits
Ji et al. Reliability life assessment and prediction for high density FOWLP package using finite element analysis and statistical approach

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant