CN109390273B - 半导体器件 - Google Patents

半导体器件 Download PDF

Info

Publication number
CN109390273B
CN109390273B CN201810869383.4A CN201810869383A CN109390273B CN 109390273 B CN109390273 B CN 109390273B CN 201810869383 A CN201810869383 A CN 201810869383A CN 109390273 B CN109390273 B CN 109390273B
Authority
CN
China
Prior art keywords
etch stop
stop pattern
semiconductor device
layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810869383.4A
Other languages
English (en)
Other versions
CN109390273A (zh
Inventor
刘禹炅
李义福
白宗玟
朴水贤
李长镐
安商熏
吴赫祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109390273A publication Critical patent/CN109390273A/zh
Application granted granted Critical
Publication of CN109390273B publication Critical patent/CN109390273B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供了一种半导体器件。该半导体器件包括:衬底,包括下布线;蚀刻停止层,在衬底上;层间绝缘层,在蚀刻停止层上;上布线,设置在层间绝缘层中并与下布线分开;以及通路,形成在层间绝缘层和蚀刻停止层中并将下布线与上布线连接,其中通路包括在蚀刻停止层中的第一部分和在层间绝缘层中的第二部分,以及其中通路的第一部分的侧壁包括阶梯构造。

Description

半导体器件
技术领域
本公开涉及半导体器件。
背景技术
随着电子技术的发展,半导体器件的小型化已迅速取得进展。因此,需要制造具有高集成度和低功耗的半导体芯片。为此,诸如布线的电路元件之间的间距变得更小,因而可能存在泄漏问题。此外,为了制造具有高集成度和低功耗的半导体芯片,布线层的高宽比增加。已进行了各种研究以形成具有增加的高度比而没有缺陷的布线层。
发明内容
本公开的方面提供了能够抑制下布线与通路之间的泄漏的半导体器件。
根据本发明构思的一些实施方式,提供了一种半导体器件,其包括:衬底,包括下布线;蚀刻停止层,在衬底上;层间绝缘层,在蚀刻停止层上;上布线,设置在层间绝缘层中并与下布线分开;以及通路,形成在层间绝缘层和蚀刻停止层中并将下布线与上布线连接,其中通路包括在蚀刻停止层中的第一部分和在层间绝缘层中的第二部分,以及其中通路的第一部分的侧壁阶梯式增大。
根据本发明构思的一些实施方式,提供了一种半导体器件,其包括:衬底,包括下布线;蚀刻停止层,包括顺序地堆叠在衬底上的下蚀刻停止图案和上蚀刻停止图案;层间绝缘层,在上蚀刻停止图案上;沟槽,形成在层间绝缘层中和在蚀刻停止层中以暴露下布线;通路,用于填充由层间绝缘层的一部分和蚀刻停止层限定的沟槽;以及上布线,设置在通路上并连接到通路,并且用于填充沟槽,其中,在下蚀刻停止图案与上蚀刻停止图案之间的边界处,由下刻蚀停止图案限定的沟槽的宽度小于由上刻蚀停止图案限定的沟槽的宽度。
根据本发明构思的一些实施方式,提供了一种半导体器件,其包括:衬底,包括下布线;蚀刻停止层,包括顺序地堆叠在衬底上的第一至第三蚀刻停止图案;层间绝缘层,设置在第三蚀刻停止图案上;上布线,设置在层间绝缘层中并与下布线分开;以及通路,形成在层间绝缘层和蚀刻停止层中并将下布线与上布线连接,其中第一蚀刻停止层图案和第三蚀刻停止图案包括相同的材料,以及其中第二蚀刻停止图案包括与第一蚀刻停止图案和第三蚀刻停止图案的材料不同的材料。
根据本发明构思的一些实施方式,提供了一种半导体器件,其包括:衬底,包括下布线;蚀刻停止层,包括顺序地堆叠在衬底上的下刻蚀停止图案和上刻蚀停止图案;层间绝缘层,设置在上蚀刻停止图案上;上布线,设置在层间绝缘层中并包括沿第一方向延伸的长边;以及通路,形成在层间绝缘层中和在蚀刻停止层中并将下布线与上布线连接,其中,在下蚀刻停止图案与上蚀刻停止图案之间的边界处,下蚀刻停止图案中的通路沿第一方向的第一宽度小于上蚀刻停止图案中的通路沿第一方向的第二宽度。
附图说明
通过参照附图详细描述本公开的示例性实施方式,本公开的以上及另外的方面和特征将变得更加明显,附图中:
图1是示出根据本公开的一些示例性实施方式的半导体器件的布局的图;
图2是根据一实施方式的沿图1的线A-A'截取的剖视图;
图3是沿图2的线C-C'截取的俯视图;
图4是图2的区域I的放大图;
图5是沿图2的线D-D'截取的俯视图;
图6是根据一实施方式的沿图1的线A-A'截取的剖视图;
图7至10分别是根据实施方式的沿图1的线B-B'截取的剖视图;
图11是根据实施方式的沿图1的线A-A'截取的剖视图;
图12是图11的区域J的放大图;
图13至16分别是根据实施方式的沿图1的线B-B'截取的剖视图;
图17是根据一实施方式的沿图1的线A-A'截取的剖视图;
图18是图17的区域K的放大图;以及
图19至22分别是根据实施方式的沿图1的线B-B'截取的剖视图。
具体实施方式
在下文中,将参照图1至10描述根据本公开的一些示例性实施方式的半导体器件。
图1是示出根据本公开的一些示例性实施方式的半导体器件的布局的图。图2是根据一实施方式的沿图1的线A-A'截取的剖视图。
参照图1和2,根据本公开的一些示例性实施方式的半导体器件可以包括衬底100、下布线110、上布线430、蚀刻停止层200和通路440。
根据本公开的一些示例性实施方式,上布线430和下布线110可以沿彼此相交的方向延伸。例如,上布线430可以包括沿第一方向D1延伸的长边430_1和沿第二方向D2延伸的短边430_2。下布线110可以沿上布线430的短边430_2延伸的第二方向D2延伸。通路440可以在上布线430和下布线110彼此重叠的区域处沿第三方向D3延伸。
例如,第一方向D1可以实质上平行于衬底100的上表面100U。例如,第三方向D3可以垂直于并远离衬底100的上表面100U。第二方向D2可以与第一方向D1和第三方向D3相交。
衬底100可以具有但不限于其中堆叠基底衬底和外延层的结构。衬底100可以是硅衬底、镓砷化物衬底、硅锗衬底、陶瓷衬底、石英衬底、用于显示器的玻璃衬底或绝缘体上半导体(SOI)衬底。在以下描述中,硅衬底将作为衬底100的示例被描述。在一实施方式中,衬底100可以通过在硅衬底上设置绝缘层而形成。
衬底100可以包括下布线110。在一实施方式中,下布线110是金属布线。下布线110可以是形成在衬底100中的晶体管、二极管等,并且可以是例如晶体管的栅电极或源极/漏极。
下布线110可以包括导电材料。
下布线110可以包括下阻挡层111和下布线层112。
下阻挡层111可以形成在下布线层112与衬底100之间。例如,下阻挡层111可以沿衬底100中的凹陷形成。虽然下阻挡层111被示为单层,但是将理解,它可以包括多个层。
下阻挡层111可以包括但不限于钽、钽氮化物、钛、钛氮化物、钌、钴、镍、镍硼(NiB)和钨氮化物中的至少一种。
例如,在下阻挡层111形成于凹陷中之后,衬底100中的凹陷可以用下布线层112填充。
例如,下布线层112可以包括铝(Al)、铜(Cu)、钨(W)、钴(Co)及其组合中的至少一种。
盖层120可以设置在下布线层112的上表面的一部分上。根据一实施方式,盖层120可以从衬底100的上表面100U突出。虽然盖层120在附图中被示为仅设置在下布线层112的上表面的一部分上并且不延伸到下阻挡层111的上表面,但这仅是说明性的。例如,将理解,盖层120可以设置为使得它从下布线层112的上表面的所述部分延伸到下阻挡层111的上表面。
此外,根据一实施方式,盖层120可以被省略。
蚀刻停止层200可以设置在包括下布线110的衬底100上。蚀刻停止层200可以包括例如穿透蚀刻停止层200的沟槽600T的一部分。
蚀刻停止层200可以包括例如第一蚀刻停止图案201、第二蚀刻停止图案202和第三蚀刻停止图案203。第一蚀刻停止图案201、第二蚀刻停止图案202和第三蚀刻停止图案203可以按该次序堆叠在衬底100上。在本公开的一些示例性实施方式中,下蚀刻停止图案可以包括第一蚀刻停止图案201,上蚀刻停止图案可以包括第二蚀刻停止图案202和第三蚀刻停止图案203。
在本公开的一些示例性实施方式中,第一蚀刻停止图案201和第三蚀刻停止图案203可以包括相同的元素。
第一蚀刻停止图案201和第三蚀刻停止图案203可以包括例如金属。例如,第一蚀刻停止图案201和第三蚀刻停止图案203可以包含相同的金属。第二蚀刻停止图案202可以不包括第一蚀刻停止图案201和第三蚀刻停止图案203中包括的金属元素。
例如,第一蚀刻停止图案201和第三蚀刻停止图案203可以包括铝(Al)元素,而第二蚀刻停止图案202可以包括氧化物掺杂的碳(ODC)和/或SiCN。第一蚀刻停止图案201可以包括AlN,第三蚀刻停止图案可以包括AlOC。
第二蚀刻停止图案202可以包括与第一蚀刻停止图案201和第三蚀刻停止图案203中包括的材料不同的材料。
例如,第一蚀刻停止图案201和第三蚀刻停止图案203可以包括对第二蚀刻停止图案202具有蚀刻选择性的材料。
层间绝缘层300可以设置在蚀刻停止层200上。在一实施方式中,层间绝缘层300可以设置在第三蚀刻停止图案203上。层间绝缘层300可以包括例如硅氧化物、硅氮化物、硅氮氧化物和低k材料中的至少一种。层间绝缘层300可以包括对第三蚀刻停止图案203具有蚀刻选择性的材料
图3是沿图2的线C-C'截取的俯视图。
参照图2和3,沟槽600T可以形成在层间绝缘层300和蚀刻停止层200中。沟槽600T可以通过穿透层间绝缘层300和蚀刻停止层200而形成,以暴露下布线110。
在用于形成沟槽600T的半导体工艺中,可以对经由沟槽600T暴露的层间绝缘层300执行顶部拐角圆化(TCR)工艺。
通过执行TCR工艺,层间绝缘层300的上表面与沟槽600T的侧壁在此相遇的拐角部分可以被圆化。就是说,通过执行TCR工艺,沟槽600T的顶部可以被圆化。
根据本公开的一些示例性实施方式,通过在制造半导体器件的工艺期间执行TCR工艺,沟槽600T的圆化的顶部可以有助于在后续工艺期间形成通路440和上布线430。例如,由于沟槽600T的顶部被圆化,因此沟槽600T的顶部的宽度可以增加,使得沟槽600T能用通路440和上布线430填充。
虽然已经根据本公开的一些示例性实施方式描述了层间绝缘层300的上表面与沟槽600T的侧壁在此相遇的部分通过制造半导体器件的工艺中的TCR工艺被圆化,但是将理解,这仅是说明性的。就是说,将注意,TCR工艺可以是可选工艺,因而可以被省略。
经由沟槽600T暴露的第一蚀刻停止图案201的边缘可以通过制造半导体器件的工艺中的蚀刻工艺等而被圆化。
沟槽600T可以包括穿透层间绝缘层300的部分和穿透蚀刻停止层200的部分。根据本公开的一些示例性实施方式,沟槽600T的穿透蚀刻停止层200的部分可以包括由第一蚀刻停止图案201限定的部分、以及由第二蚀刻停止图案202和第三蚀刻停止图案203限定的部分。
根据本公开的一些示例性实施方式,在第一蚀刻停止图案201与第二蚀刻停止图案202之间的边界处,沟槽600T的由第一蚀刻停止图案201限定的部分的宽度可以小于沟槽600T的由第二蚀刻停止图案202和第三蚀刻停止图案203限定的部分的宽度。
沟槽600T的由包括在下蚀刻停止图案中的第一蚀刻停止图案201限定的部分可以对应于通路440的第三部分441_1(见图4)。沟槽600T的由包括在上蚀刻停止图案中的第二蚀刻停止图案202和第三蚀刻停止图案203限定的部分可以对应于通路440的第四部分441_2(见图4)。
参照回图1和2,上阻挡层410a、410b和410c可以沿着沟槽600T的侧壁和底表面形成。
上阻挡层410a、410b和410c可以包括上阻挡层的与上布线层420a相邻的第一部分410a、上阻挡层的与通路440的第二通路材料420b相邻的第二部分410b、以及上阻挡层的与通路440的第一通路材料420c相邻的第三部分410c。
在上阻挡层410a、410b和410c形成之后的沟槽600T的剩余部分可以用上布线层420a、第一通路材料420c和第二通路材料420b填充。
换言之,沟槽600T可以由上布线430和通路440填充。具体地,通路440可以用于填充由层间绝缘层300的一部分和蚀刻停止层200限定的沟槽600T。在一实施方式中,上布线430可以连接到通路440并设置在通路440上,并且可以用于填充沟槽600T。
通路440可以设置在蚀刻停止层200和层间绝缘层300内部,并且可以将下布线110与上布线430连接。通路440可以设置在上布线430与下布线110之间的交叉处。
通路440可以包括在蚀刻停止层200中的第一部分441、以及在层间绝缘层300中的第二部分443。
通路440的第一部分441可以包括第一通路材料420c和上阻挡层的第三部分410c。通路440的第一部分441可以是通路440的沿第一方向D1与蚀刻停止层200重叠的部分。
通路440的第二部分443可以包括第二通路材料420b和上阻挡层的第二部分410b。通路440的第二部分443可以是通路440的沿第一方向D1与层间绝缘层300重叠的部分。通路440的第二部分443可以是在通路440的第一部分441与上布线430之间的部分。
上布线430可以设置在层间绝缘层300中,并且可以与下布线110间隔开。上布线430可以包括上阻挡层的第一部分410a以及上布线层420a。
例如,上布线430和通路440可以包括相同的材料。例如,上布线430和通路440可以包括导电材料。根据一实施方式,上布线430和通路440可以包括铝(Al)、铜(Cu)、钨(W)、钴(Co)及其组合中的至少一种。
图4是图2的区域I的放大图。图5是沿图2的线D-D'截取的俯视图。
参照图2、4和5,通路440的第一部分441的侧壁441_S可以具有阶梯形状。
通路440的第一部分441的侧壁441_S可以包括第一侧壁441_S1、第二侧壁441_S2、以及将第一侧壁441_S1与第二侧壁441_S2连接的第三侧壁441_S3。第一侧壁441_S1可以比第二侧壁441_S2更靠近衬底100的上表面100U。
第一侧壁441_S1可以远离衬底100延伸,并且可以相对于衬底100的上表面100U以第一角度倾斜。第二侧壁441_S2可以远离衬底100延伸,并且可以相对于第一蚀刻停止图案201的上表面201U以第二角度倾斜。第一角度和第二角度可以为例如锐角。第一角度可以等于或者可以不等于第二角度。
第三侧壁441_S3可以实质上平行于衬底100的上表面100U延伸。
第一侧壁441_S1可以在第一点P1处与第三侧壁441_S3相遇。第三侧壁441_S3可以在第二点P2处与第二侧壁441_S2相遇。
在一些实施方式中,第三侧壁441_S3可以与第一蚀刻停止图案201的上表面201U的一部分接触。第二蚀刻停止图案202包括彼此相反的第一表面202L和第二表面202U,并且第二蚀刻停止图案202的第二表面202U面对第三蚀刻停止图案203的下表面203L,使得第一蚀刻停止图案201的上表面201U可以面对第二蚀刻停止图案202的第一表面202L。
在一些实施方式中,通路440的第一部分441可以包括在第一蚀刻停止图案201中的第三部分441_1、以及在第二蚀刻停止图案202和第三蚀刻停止图案203中的第四部分441_2。通路440的第四部分441_2可以设置在通路440的第三部分441_1上。
通路440的第三部分441_1的侧壁可以是第一侧壁441_S1。通路440的第四部分441_2的侧壁可以是第二侧壁441_S2。
通路440的第三部分441_1可以包括第一通路材料420c的下部和上阻挡层的第三部分410c的下部。通路440的第四部分441_2可以包括第一通路材料420c的上部和上阻挡层的第三部分410c的上部。
在一些实施方式中,通路440的第三部分441_1可以被第一蚀刻停止图案201围绕。通路440的第四部分441_2可以被第二蚀刻停止图案202和第三蚀刻停止图案203围绕。
在一些实施方式中,通路440的第四部分441_2可以包括当从顶部观察时与第一蚀刻停止图案201的部分201P垂直重叠的部分441_2P。第一蚀刻停止图案201的部分201P可以是第一蚀刻停止图案201的沿第一方向D1从第二侧壁441_S2突出的部分。
例如,通路440的第四部分441_2的部分441_2P可以与第一蚀刻停止图案201的上表面201U的一部分接触。通路440的第四部分441_2的部分441_2P可以沿第一方向D1从通路440的第三部分441_1突出。
通路440的第三部分441_1的宽度W11可以小于通路440的第四部分441_2的宽度W21。通路440的第三部分441_1的宽度W11可以是在第一点P1处测量的值,通路440的第四部分441_2的宽度W21可以是在第二点P2处测量的值。换言之,通路440的第三部分441_1的宽度W11和通路440的第四部分441_2的宽度W21可以是在第一蚀刻停止图案201与第二蚀刻停止图案202之间的边界处测量的值。
在根据本公开的一些示例性实施方式的半导体器件中,第一蚀刻停止图案201包括从通路440的第二侧壁441_S2突出的部分201P,使得下布线110与另一相邻下布线之间以及下布线110与通路440之间的间隔被确保。通过这样做,能抑制下布线110与另一相邻下布线之间以及下布线110与通路440之间的泄漏。
参照图2和4,根据一实施方式,通路440的第二部分443的侧壁、通路440的第一部分441的第二侧壁441_S2、以及通路440的第一部分441的第一侧壁441_S1被示为具有不垂直于衬底100的上表面100U的斜度。
图6是根据一实施方式的沿图1的线A-A'截取的剖视图。
例如,如图6所示,通路440的第二部分443的侧壁、通路440的第一部分441的第二侧壁441_S2、以及通路440的第一部分441的第一侧壁441_S1可以具有垂直于衬底100的上表面100U的斜度。
根据一实施方式,通路440的第二部分443的侧壁以及通路440的第一部分441的第二侧壁441_S2可以具有实质上垂直于衬底100的上表面100U的斜度,而通路440的第一部分441的第一侧壁441_S1可以具有不垂直于衬底100的上表面100U的斜度。或者,通路440的第二部分443的侧壁以及通路440的第一部分441的第二侧壁441_S2可以具有不垂直于衬底100的上表面100U的斜度,而通路440的第一部分441的第一侧壁441_S1可以具有实质上垂直于衬底100的上表面100U的斜度。
图7至10分别是根据实施方式的沿图1的线B-B'截取的剖视图。
参照图7和8,在一些实施方式中,在第一蚀刻停止图案201与第二蚀刻停止图案202之间的边界处,第一蚀刻停止图案201中的通路440沿第二方向D2的宽度W12可以实质上等于第二蚀刻停止图案202和第三蚀刻停止图案203中的通路440沿第二方向D2的宽度W22。第一蚀刻停止图案201中的通路440可以对应于通路440的第三部分441_1(见图4)。此外,第二蚀刻停止图案202和第三蚀刻停止图案203中的通路440可以对应于通路440的第四部分441_2(见图4)。
在图7中,上布线430的侧壁和通路440的侧壁具有一定的斜度,这仅是说明性的。例如,将理解,它们可以取决于将要应用的半导体工艺而具有图8所示的形状。
参照图9和10,在一些实施方式中,在第一蚀刻停止图案201与第二蚀刻停止图案202之间的边界处,第一蚀刻停止图案201中的通路440沿第二方向D2的宽度W12可以小于第二蚀刻停止图案202和第三蚀刻停止图案203中的通路440沿第二方向D2的宽度W22。
在图9中,虽然上布线430的侧壁和通路440的侧壁被示为具有一定的斜度,但这仅是说明性的。将理解,它们可以例如取决于将要应用的半导体工艺而具有图10所示的形状。
在下文中,将参照图1、11至16描述根据本公开的一些示例性实施方式的半导体器件。
图11是根据一实施方式的沿图1的线A-A'截取的剖视图。图12是图11的区域J的放大图。
参照图11和12,在根据本公开的一些实施方式的半导体器件中,下蚀刻停止图案可以包括第一蚀刻停止图案201和第二蚀刻停止图案202,上蚀刻停止图案可以包括第三蚀刻停止图案203。
根据本公开的一些示例性实施方式,沟槽600T的穿透蚀刻停止层200的部分可以包括由第一蚀刻停止图案201和第二蚀刻停止图案202限定的部分、以及由第三蚀刻停止图案203限定的部分。
根据本公开的一些示例性实施方式,在第一蚀刻停止图案201与第二蚀刻停止图案202之间的边界处,沟槽600T的由第一蚀刻停止图案201和第二蚀刻停止图案202限定的部分的宽度可以小于沟槽600T的由第三蚀刻停止图案203限定的部分的宽度。
沟槽600T的由属于下蚀刻停止图案的第一蚀刻停止图案201和第二蚀刻停止图案202限定的部分可以用通路440的第三部分441_1填充。此外,沟槽600T的由属于上蚀刻停止图案的第三蚀刻停止图案203限定的部分可以用通路440的第四部分441_2填充。
通路440的第三部分441_1可以在第一蚀刻停止图案201和第二蚀刻停止图案202中。通路440的第三部分441_1可以由第一蚀刻停止图案201和第二蚀刻停止图案202围绕。通路440的第四部分441_2可以在第三蚀刻停止图案203中由第三蚀刻停止图案203围绕。
通路440的侧壁441_S的第三侧壁441_S3可以与第二蚀刻停止图案202的第二表面202U的一部分(即第二蚀刻停止图案202的上表面的一部分)接触。
在一些实施方式中,通路440的第四部分441_2可以包括当从顶部观察时与第一蚀刻停止图案201的部分201P和第二蚀刻停止图案202的部分202P垂直重叠的部分441_2P。第二蚀刻停止图案202的部分202P可以是第二蚀刻停止图案202的沿第一方向D1从第二侧壁441_S2突出的部分。
通路440的第四部分441_2的部分441_2P可以与第二表面202U(即第二蚀刻停止图案202的上表面)的一部分接触。
通路440的第三部分441_1的宽度W31可以小于通路440的第四部分441_2的宽度W41。通路440的第三部分441_1的宽度W31可以是在第一点P1处测量的值,而通路440的第四部分441_2的宽度W41可以是在第二点P2处测量的值。换言之,通路440的第三部分441_1的宽度W31和通路440的第四部分441_2的宽度W41可以是在第二蚀刻停止图案202与第三蚀刻停止图案203之间的边界处测量的值。
图13至16分别是根据实施方式的沿图1的线B-B'截取的剖视图。
参照图13和14,在第二蚀刻停止图案202与第三蚀刻停止图案203之间的边界处,第一蚀刻停止图案201和第二蚀刻停止图案202中的通路440沿第二方向D2的宽度W32可以实质上等于第三蚀刻停止图案203中的通路440沿第二方向D2的宽度W42。第一蚀刻停止图案201和第二蚀刻停止图案中的通路440可以对应于通路440的第三部分441_1(见图11)。此外,第三蚀刻停止图案203中的通路440可以对应于通路440的第四部分441_2(见图11)。
在图13中,虽然上布线430的侧壁和通路440的侧壁被示为具有一定的斜度,但这仅是说明性的。将理解,它们可以例如取决于将要应用的半导体工艺而具有图14所示的形状。
参照图15和16,在一些实施方式中,在第二蚀刻停止图案202与第三蚀刻停止图案203之间的边界处,第一蚀刻停止图案201和第二蚀刻停止图案202中的通路440沿第二方向D2的宽度W32可以小于第三蚀刻停止图案203中的通路440沿第二方向D2的宽度W42。
在图15中,虽然上布线430的侧壁和通路440的侧壁被示为具有一定的斜度,但这仅是说明性的。将理解,它们可以例如取决于将要应用的半导体工艺而具有图16所示的形状。
在下文中,将参照图1、17至22描述根据本公开的一些示例性实施方式的半导体器件。
图17是沿图1的线A-A'截取的剖视图。图18是图17的区域K的放大图。
参照图17和18,在第三蚀刻停止图案203与层间绝缘层300之间的边界处,通路440的第一部分441的宽度W51可以小于通路440的第二部分的宽度W61。
通路440的侧壁可以包括通路440的第一部分441的侧壁441_S、以及通路440的第二部分443的侧壁443_S1和443_S2。通路440的第二部分443的侧壁可以包括远离第三蚀刻停止图案203延伸的第四侧壁443_S1、以及将通路440的第一部分441的侧壁441_S与第四侧壁443_S1连接的第五侧壁443_S2。
通路440的第一部分的侧壁441_S可以远离衬底100延伸,并且可以相对于衬底100的上表面100U以第三角度倾斜。第四侧壁443_S1可以相对于第三蚀刻停止图案203的上表面203U以第四角度倾斜。第三角度和第四角度可以是例如锐角。第三角度可以等于或者可以不等于第四角度。
通路440的第二部分443可以包括当从顶部观察时与第一蚀刻停止图案201的部分201P、第二蚀刻停止图案202的部分202P和第三蚀刻停止图案230的部分203P垂直重叠的部分443P。第一蚀刻停止图案201的部分201P、第二蚀刻停止图案202的部分202P和第三蚀刻停止图案203的部分203P可以沿第一方向D1从通路440的第二部分443的侧壁突出。例如,通路440的第二部分443的侧壁可以是层间绝缘层300与通路440的第二部分443之间的边界。
通路440的第二部分443的部分443P可以与第三蚀刻停止图案203的上表面203U的一部分接触。
图19至22分别是根据实施方式的沿图1的线B-B'截取的剖视图。
参照图19和20,在一些实施方式中,在第三蚀刻停止图案203与层间绝缘层300之间的边界处,沿第二方向D2,蚀刻停止层200中的通路440的第一部分441的宽度W52可以实质上等于层间绝缘层300中的通路440的第二部分443的宽度W62。
在图19中,虽然上布线430的侧壁和通路440的侧壁被示为具有一定的斜度,但这仅是说明性的。将理解,它们可以例如取决于将要应用的半导体工艺而具有图20所示的形状。。
参照图21,在一些实施方式中,在第三蚀刻停止图案203与层间绝缘层300之间的边界处,通路440的第一部分441沿第二方向D2的宽度W52可以小于通路440的第二部分443沿第二方向D2的宽度W62。
在图21,虽然上布线430的侧壁和通路440的侧壁被示为具有一定的斜度,但这仅是说明性的。将理解,它们可以例如取决于将要应用的半导体工艺而具有图22所示的形状。
虽然已经参照本发明构思的示例性实施方式具体显示和描述了本发明构思,但是本领域普通技术人员将理解,可以在其中进行形式和细节上的各种各样的改变而不背离如由所附权利要求限定的本发明构思的精神和范围。
本申请要求2017年8月2日在韩国知识产权局提交的韩国专利申请第10-2017-0098008号的优先权,其公开通过引用全文合并于此。

Claims (20)

1.一种半导体器件,包括:
衬底,包括下布线;
蚀刻停止层,在所述衬底上,所述蚀刻停止层与所述衬底接触;
层间绝缘层,在所述蚀刻停止层上;
上布线,设置在所述层间绝缘层中并且与所述下布线分开;以及
通路,形成在所述层间绝缘层和所述蚀刻停止层中并且将所述下布线与所述上布线连接,
其中所述通路包括在所述蚀刻停止层中的第一部分和在所述层间绝缘层中的第二部分,以及
其中所述通路的所述第一部分的侧壁包括台阶构造。
2.根据权利要求1所述的半导体器件,其中所述通路的所述第一部分的所述侧壁包括远离所述衬底延伸的第一侧壁和第二侧壁、以及将所述第一侧壁与所述第二侧壁连接的第三侧壁。
3.根据权利要求2所述的半导体器件,其中所述第一侧壁比所述第二侧壁更靠近所述衬底的上表面,
其中所述通路的所述第一部分包括与所述第一侧壁对应的第三部分、以及与所述第二侧壁对应的第四部分,以及
其中所述通路的所述第三部分的宽度小于所述通路的所述第四部分的宽度。
4.根据权利要求3所述的半导体器件,其中所述蚀刻停止层包括顺序地一个堆叠在另一个上的第一蚀刻停止图案、第二蚀刻停止图案和第三蚀刻停止图案,
其中所述第一蚀刻停止图案围绕所述通路的所述第三部分,以及
其中所述第二蚀刻停止图案和所述第三蚀刻停止图案围绕所述通路的所述第四部分。
5.根据权利要求2所述的半导体器件,其中所述蚀刻停止层包括顺序地一个堆叠在另一个上的第一蚀刻停止图案、第二蚀刻停止图案和第三蚀刻停止图案,以及
其中所述第三侧壁与所述第一蚀刻停止图案的上表面的一部分接触。
6.根据权利要求2所述的半导体器件,其中所述蚀刻停止层包括顺序地一个堆叠在另一个上的第一蚀刻停止图案、第二蚀刻停止图案和第三蚀刻停止图案,以及
其中所述第三侧壁与所述第二蚀刻停止图案的上表面的一部分接触。
7.根据权利要求1所述的半导体器件,其中所述蚀刻停止层包括顺序地堆叠在所述衬底上的下蚀刻停止图案和上蚀刻停止图案,
其中所述通路的所述第一部分包括在所述下蚀刻停止图案中的第三部分和在所述上蚀刻停止图案中的第四部分,以及
其中,在所述下蚀刻停止图案与所述上蚀刻停止图案之间的边界处,所述第三部分的宽度小于所述第四部分的宽度。
8.根据权利要求7所述的半导体器件,其中所述下蚀刻停止图案包括在所述衬底上的第一蚀刻停止图案,以及
其中所述上蚀刻停止图案包括顺序地堆叠在所述第一蚀刻停止图案上的第二蚀刻停止图案和第三蚀刻停止图案。
9.根据权利要求7所述的半导体器件,其中所述下蚀刻停止图案包括顺序地堆叠在所述衬底上的第一蚀刻停止图案和第二蚀刻停止图案,以及
其中所述上蚀刻停止图案包括堆叠在所述第二蚀刻停止图案上的第三蚀刻停止图案。
10.根据权利要求1所述的半导体器件,其中所述蚀刻停止层包括顺序地一个堆叠在另一个上的第一蚀刻停止图案、第二蚀刻停止图案和第三蚀刻停止图案,
其中所述第一蚀刻停止图案和所述第三蚀刻停止图案包括相同的材料,以及
其中所述第二蚀刻停止图案包括与所述第一蚀刻停止图案和所述第三蚀刻停止图案的材料不同的材料。
11.一种半导体器件,包括:
衬底,包括下布线;
蚀刻停止层,包括一个在另一个上地顺序地堆叠在所述衬底上的第一蚀刻停止图案、第二蚀刻停止图案和第三蚀刻停止图案;
层间绝缘层,设置在所述第三蚀刻停止图案上;
上布线,设置在所述层间绝缘层中并且与所述下布线分开;以及
通路,形成在所述层间绝缘层和所述蚀刻停止层中并且将所述下布线与所述上布线连接,
其中所述第一蚀刻停止图案和所述第三蚀刻停止图案包括相同的材料,
其中所述第二蚀刻停止图案包括与所述第一蚀刻停止图案和所述第三蚀刻停止图案的材料不同的材料,以及
其中所述第一蚀刻停止图案的下表面接触所述衬底,并且所述第一蚀刻停止图案的上表面的一部分接触所述通路。
12.根据权利要求11所述的半导体器件,其中所述第一蚀刻停止图案和所述第三蚀刻停止图案包含相同的金属元素,以及
其中所述第二蚀刻停止图案不包含所述第一蚀刻停止图案和所述第三蚀刻停止图案中包含的金属元素。
13.根据权利要求11所述的半导体器件,其中所述第一蚀刻停止图案和所述第三蚀刻停止图案包含铝元素,以及
其中所述第二蚀刻停止图案不包含铝元素。
14.根据权利要求11所述的半导体器件,其中所述通路包括在所述蚀刻停止层中的第一部分和在所述层间绝缘层中的第二部分,以及
其中所述通路的所述第一部分的侧壁包括阶梯构造。
15.根据权利要求11所述的半导体器件,其中所述通路包括在所述蚀刻停止层中的第一部分和在所述层间绝缘层中的第二部分,
其中,所述通路的所述第一部分包括具有第一宽度的第三部分和具有第二宽度的第四部分,所述第二宽度在所述第一蚀刻停止图案与所述第二蚀刻停止图案之间的边界处大于所述第一宽度,以及
其中所述第四部分设置在所述第三部分上。
16.一种半导体器件,包括:
衬底,包括下布线;
蚀刻停止层,包括一个在另一个上地顺序地堆叠在所述衬底上的下蚀刻停止图案和上蚀刻停止图案,所述下蚀刻停止图案的下表面接触所述衬底;
层间绝缘层,设置在所述上蚀刻停止图案上;
上布线,设置在所述层间绝缘层中并且包括沿第一方向延伸的长边;以及
通路,形成在所述层间绝缘层中和在所述蚀刻停止层中并且将所述下布线与所述上布线连接,
其中,在所述下蚀刻停止图案与所述上蚀刻停止图案之间的边界处,所述下蚀刻停止图案中的所述通路沿所述第一方向的第一宽度小于所述上蚀刻停止图案中的所述通路沿所述第一方向的第二宽度,并且所述下蚀刻停止图案的上表面的一部分接触所述通路。
17.根据权利要求16所述的半导体器件,其中,在所述下蚀刻停止图案与所述上蚀刻停止图案之间的边界处,所述上蚀刻停止图案中的所述通路沿交叉所述第一方向的第二方向的宽度大于所述下蚀刻停止图案中的所述通路沿所述第二方向的宽度。
18.根据权利要求16所述的半导体器件,其中,在所述下蚀刻停止图案与所述上蚀刻停止图案之间的边界处,所述上蚀刻停止图案中的所述通路沿交叉所述第一方向的第二方向的宽度等于所述下蚀刻停止图案中的所述通路沿所述第二方向的宽度。
19.根据权利要求16所述的半导体器件,其中所述下蚀刻停止图案包括在所述衬底上的第一蚀刻停止图案,以及
其中所述上蚀刻停止图案包括顺序地堆叠在所述第一蚀刻停止图案上的第二蚀刻停止图案和第三蚀刻停止图案。
20.根据权利要求16所述的半导体器件,其中所述下蚀刻停止图案包括顺序地堆叠在所述衬底上的第一蚀刻停止图案和第二蚀刻停止图案,以及
其中所述上蚀刻停止图案包括堆叠在所述第二蚀刻停止图案上的第三蚀刻停止图案。
CN201810869383.4A 2017-08-02 2018-08-02 半导体器件 Active CN109390273B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0098008 2017-08-02
KR1020170098008A KR102356754B1 (ko) 2017-08-02 2017-08-02 반도체 장치

Publications (2)

Publication Number Publication Date
CN109390273A CN109390273A (zh) 2019-02-26
CN109390273B true CN109390273B (zh) 2023-09-22

Family

ID=65231762

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810869383.4A Active CN109390273B (zh) 2017-08-02 2018-08-02 半导体器件

Country Status (4)

Country Link
US (2) US10475739B2 (zh)
KR (1) KR102356754B1 (zh)
CN (1) CN109390273B (zh)
SG (1) SG10201803467SA (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102451171B1 (ko) 2018-01-25 2022-10-06 삼성전자주식회사 반도체 소자
US10468297B1 (en) * 2018-04-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-based etch-stop layer
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
KR20210003328A (ko) 2019-07-01 2021-01-12 삼성전자주식회사 반도체 소자
KR20210137276A (ko) * 2020-05-07 2021-11-17 삼성전자주식회사 반도체 소자
US11670546B2 (en) * 2021-03-04 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000269351A (ja) * 1999-03-16 2000-09-29 Sony Corp 半導体装置の製造方法
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
KR20090002631A (ko) * 2007-07-02 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
JP2010232400A (ja) * 2009-03-27 2010-10-14 Panasonic Corp 半導体基板と半導体基板の製造方法および半導体パッケージ
CN106169439A (zh) * 2015-05-19 2016-11-30 三星电子株式会社 布线结构、形成布线结构的方法以及半导体器件
CN106601665A (zh) * 2015-10-20 2017-04-26 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4858895B2 (ja) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
US6440838B1 (en) 2001-11-20 2002-08-27 Taiwan Semiconductor Manufacturing Co., Ltd Dual damascene structure employing laminated intermediate etch stop layer
US6635576B1 (en) * 2001-12-03 2003-10-21 Taiwan Semiconductor Manufacturing Company Method of fabricating borderless contact using graded-stair etch stop layers
US6734116B2 (en) 2002-01-11 2004-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene method employing multi-layer etch stop layer
US6525428B1 (en) 2002-06-28 2003-02-25 Advance Micro Devices, Inc. Graded low-k middle-etch stop layer for dual-inlaid patterning
JP4086673B2 (ja) 2003-02-04 2008-05-14 Necエレクトロニクス株式会社 半導体装置及びその製造方法
KR100621548B1 (ko) * 2004-07-30 2006-09-14 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7303972B2 (en) 2006-01-19 2007-12-04 International Business Machines Incorporated Integrated thin-film resistor with direct contact
JP5498808B2 (ja) * 2010-01-28 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8987133B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
US9659857B2 (en) * 2013-12-13 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9536964B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming via profile of interconnect structure of semiconductor device structure
US20160372413A1 (en) 2015-06-17 2016-12-22 Globalfoundries Inc. Unique bi-layer etch stop to protect conductive structures during a metal hard mask removal process and methods of using same
US9627215B1 (en) 2015-09-25 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
CN106876324A (zh) 2015-12-10 2017-06-20 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
US10211097B2 (en) * 2015-12-30 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10854505B2 (en) * 2016-03-24 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Removing polymer through treatment

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP2000269351A (ja) * 1999-03-16 2000-09-29 Sony Corp 半導体装置の製造方法
KR20090002631A (ko) * 2007-07-02 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
JP2010232400A (ja) * 2009-03-27 2010-10-14 Panasonic Corp 半導体基板と半導体基板の製造方法および半導体パッケージ
CN106169439A (zh) * 2015-05-19 2016-11-30 三星电子株式会社 布线结构、形成布线结构的方法以及半导体器件
CN106601665A (zh) * 2015-10-20 2017-04-26 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
KR20190014338A (ko) 2019-02-12
CN109390273A (zh) 2019-02-26
US10475739B2 (en) 2019-11-12
KR102356754B1 (ko) 2022-01-27
US20190304903A1 (en) 2019-10-03
SG10201803467SA (en) 2019-03-28
US20190043803A1 (en) 2019-02-07

Similar Documents

Publication Publication Date Title
CN109390273B (zh) 半导体器件
US9870980B2 (en) Semiconductor package with through silicon via interconnect
US10770447B2 (en) Method for fabricating substrate structure and substrate structure fabricated by using the method
US11329039B2 (en) Integrated circuit including integrated standard cell structure
CN109166837B (zh) 半导体器件和制造方法
US10643958B2 (en) Semiconductor device, semiconductor chip and method of manufacturing the semiconductor device
US11069647B2 (en) Semiconductor wafer, bonding structure and wafer bonding method
US7956439B2 (en) Void boundary structures, semiconductor devices having the void boundary structures and methods of forming the same
US10804403B2 (en) Method of fabricating semiconductor devices
US20070296091A1 (en) Semiconductor device having symbol pattern utilized as indentification sign and its manufacture method
US10535600B2 (en) Semiconductor device
US10559543B2 (en) Semiconductor device having a protection trench, semiconductor wafer including the same, and semiconductor package
KR102174144B1 (ko) 반도체 장치 및 그 제조 방법
CN108573969B (zh) 集成电路器件
US20150076665A1 (en) Alignment mark structure
US10396030B2 (en) Semiconductor device, layout design method for the same and method for fabricating the same
US9711478B2 (en) Semiconductor device with an anti-pad peeling structure and associated method
CN103579087B (zh) 一种三维集成电路结构的制作方法和三维集成电路结构
US9293410B2 (en) Semiconductor device
US20220384340A1 (en) Semiconductor integrated circuit device and manufacturing method thereof
CN115440729A (zh) 半导体器件
KR20200085111A (ko) 반도체 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant