CN108780738B - 满足线边缘粗糙度及其他集成目的的等离子体处理方法 - Google Patents

满足线边缘粗糙度及其他集成目的的等离子体处理方法 Download PDF

Info

Publication number
CN108780738B
CN108780738B CN201780013040.1A CN201780013040A CN108780738B CN 108780738 B CN108780738 B CN 108780738B CN 201780013040 A CN201780013040 A CN 201780013040A CN 108780738 B CN108780738 B CN 108780738B
Authority
CN
China
Prior art keywords
substrate
pattern
gas mixture
layer
process gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780013040.1A
Other languages
English (en)
Other versions
CN108780738A (zh
Inventor
文·梁
阿基特若·高
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN108780738A publication Critical patent/CN108780738A/zh
Application granted granted Critical
Publication of CN108780738B publication Critical patent/CN108780738B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00531Dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供了一种使用集成方案来使基板上的层图案化的方法,该方法包括:布置具有结构图案层、中性层以及底层的基板,结构图案层包括第一材料和第二材料;使用第一工艺气体混合物来执行第一处理工艺以形成第一图案,第一工艺气体包括CxHyFz和氩气的混合物;使用第二工艺气体混合物来执行第二处理工艺以形成第二图案,第二工艺气体包括低含氧气体和氩气的混合物;并行控制集成方案的选择的两个或更多个操作变量以便实现目标集成目的。

Description

满足线边缘粗糙度及其他集成目的的等离子体处理方法
技术领域
本发明涉及一种用于在基板上选择性地蚀刻具有第一材料层和第二材料层的结构图案的方法,并且具体涉及降低线边缘粗糙度(LER)以及实现定向自组装(DSA)工艺中的其他目标集成目的。
背景技术
在半导体器件生产中保持成本和性能的竞争力的需要已经导致集成电路的器件密度的持续增加。为了实现半导体集成电路的较高集成度和微型化,也必须实现形成在半导体晶片上的电路图案的微型化。
光刻是用于通过将掩模上的几何形状和图案转移至半导体晶片的表面来制造半导体集成电路的标准技术。然而,当前技术水平的光刻工具允许低至约25nm的最小特征尺寸。因此,需要提供更小特征的新方法。
具有第一材料和第二材料的一种类型的结构图案层是DSA层。DSA层包括嵌段共聚物(BCP)的自组装,其被认为是用于将分辨率提高至与仅通过现有技术光刻方法获得的分辨率相比更好的值的潜在工具。嵌段共聚物是用于纳米制造的化合物,原因是其可以在冷却低于一定温度下(有序-无序转变温度TOD)经受有序-无序转变,导致具有不同化学性质的共聚物嵌段的相分离以形成尺寸为几十纳米或甚至小于10nm的有序、化学上不同的域。可以通过操纵不同嵌段类型的共聚物的分子量和组成来控制域的尺寸和形状。域之间的边界可以具有1nm至5nm量级的宽度并且可以通过共聚物的嵌段的化学组分的修改来操纵。
取决于嵌段的体积分数、每个嵌段类型内的聚合程度(即,每个相应嵌段内的每个相应类型的单体的数目)、溶剂的可选使用和表面相互作用,嵌段共聚物可以在自组装时形成许多不同的相。当在薄膜中应用时,几何限制可能产生附加的边界条件,这可能限制相的数目。通常,在自组装嵌段共聚物的薄膜中实际观察到球状相(例如,立方形)、柱状相(例如,四角形或六角形)及层状相(例如,具有立方、六角形或层状空间填充的对称性的自组装相),并且所观察到的相类型可以取决于不同聚合物嵌段的相对体积分数。自组装聚合物相可以以平行或垂直于基板的对称轴定向,并且层状相和柱状相对于光刻应用是有吸引力的,原因是它们可以分别形成线和间隔物图案及孔阵列,并且当随后蚀刻域类型之一时可以提供良好的对比度。
用于将嵌段共聚物引导或定向自组装至表面上的两种方法是石墨外延和也被称为化学外延的化学预图案化。在石墨外延方法中,嵌段共聚物的自组织通过基板的拓扑预图案化来引导。自对准嵌段共聚物可以形成具有由图案化基板限定的沟槽中的不同聚合物嵌段域的相邻线的平行线图案。例如,在嵌段共聚物是聚合物链内的具有A嵌段和B嵌段的二嵌段共聚物(di-block copolymer)的情况下,其中在性质上A是亲水的而B是疏水的,如果沟槽的侧壁在性质上也是亲水的,则A嵌段可以组装成与该侧壁相邻形成的域。可以通过对基板上的预图案的间隔进行细分的嵌段共聚物图案来相对于图案化基板的分辨率提高分辨率。
在化学外延中,通过基板上的化学图案(即化学模板)来引导嵌段共聚物域的自组装。嵌段共聚物链内的至少一种类型的共聚物嵌段与化学图案之间的化学亲和力可以引起域类型之一到基板上的化学图案的相应区域上的精确放置(在本文中也被称为“钉扎(pinning)”)。例如,如果嵌段共聚物是具有A嵌段和B嵌段的二嵌段共聚物,其中在性质上A是亲水的而B是疏水的,并且化学图案由具有与对A和B两者是中性的区域相邻的疏水区域的表面组成,则B域可以优先组装在疏水区域上并且因此迫使A嵌段和B嵌段两者在中性区域上的后续对准。与对准的石墨外延方法一样,可以通过对基板上的预图案化的特征的间隔进行细分的嵌段共聚物图案来相对于图案化基板的分辨率提高分辨率(所谓的密度或频率倍增)。然而,化学外延不限于线性预图案,例如预图案可以呈适合作为用于与柱状相形成嵌段共聚物一起使用的图案的2-D点阵列的形式。例如,石墨外延和化学外延可以用于引导层状相或柱状相的自组织,其中不同域类型并排布置在基板的表面上。
因此,为了实现通过嵌段共聚物的石墨外延和化学外延提供的优点,需要新的光刻图案化和定向自组装技术,包括在图案化工作流程中集成这样的材料的能力。嵌段共聚物的一个示例是聚苯乙烯-b-聚(甲基丙烯酸甲酯)(PMMA)。然而,当从聚苯乙烯-b-聚(甲基丙烯酸甲酯)(PS-b-PMMA)层去除PMMA部分以留下聚苯乙烯(PS)图案时,常规蚀刻技术受到影响。由于两种材料的有机性及其相似性,开发具有合适蚀刻选择性的蚀刻化学物质具有挑战。此外,常规蚀刻工艺产生图案缺陷例如线边缘粗糙度/线宽粗糙度(LER/LWR),这根据半导体器件性能要求是不可接受的。在极端情况下,由于图案坍塌,PS的缺陷可能是灾难性的,如将在下面更详细地讨论的。
在未来的方案中,使用干蚀刻技术在保留其他材料的同时选择性去除一种材料的能力对于这种图案化实现的成功至关重要。如上所述,可接受的临界尺寸(CD)、LER/LWR和蚀刻选择性是确定用于自对准四重图案化(SAQP)工艺的集成工艺的有用性的主要因素。当前方法提供不了随着更高密度图案的需求增加而要求的CD、LER和LWR。也有如下需求,确定提供所需蚀刻灵敏性的蚀刻剂气体或气体的组合的流量或比例的组合。总体上,需要受控蚀刻技术、工艺、蚀刻剂气体的组合,其产生可接受的蚀刻选择性和LER结果以便实现具有更小特征的集成目的。
发明内容
提供了一种使用集成方案来使基板上的层图案化的方法,该方法包括:布置具有结构图案层、中性层以及底层的基板,结构图案层包括第一材料和第二材料;使用第一工艺气体混合物来执行第一处理工艺以形成第一图案,第一工艺气体包括CxHyFz和氩气的混合物;使用第二工艺气体混合物来执行第二处理工艺以形成第二图案,第二工艺气体包括低含氧气体和氩气的混合物;并行控制集成方案的选择的两个或多个操作变量以便实现目标集成目的。
附图说明
在附图中:
图1A示出在定向自组装图案化工艺的开始的输入基板的现有技术示意图。
图1B示出在输入基板的共聚物、中性层和固化蚀刻处理之后的基板的现有技术示意图。
图1C示出经受旋转涂布玻璃(SOG)和旋转涂布碳(SOC)蚀刻工艺的基板的现有技术示意图。
图2A示出根据本发明的实施方式的在定向自组装图案化工艺的开始的输入基板的示意图。图2B示出在输入基板的共聚物蚀刻、中性层蚀刻和固化蚀刻处理之后的基板的示意图。图2C示出在使用第一工艺气体混合物以在基板上形成第一图案的第一处理工艺之后的基板的示意图。图2D示出根据实施方式的使用第二工艺气体混合物以在基板上形成第二图案的基板的示意图,第二工艺气体包括低含氧气体和氩气的混合物。图2E示出在经受旋转涂布玻璃(SOG)和旋转涂布碳(SOC)蚀刻工艺之后的基板的示意图。
图3A是在执行一系列现有技术工艺之后得到的基板中的图案结构的示例性顶视图像,该图像用作用于与本发明产生的结果比较的基础情形。图3B示出图3A所示的基板的斜视图像。
图4A和图4B示出根据实施方式的用第一工艺气体混合物中的C4F8/Ar处理的基板的顶视图像和斜视图像。
图5A和图5B示出根据实施方式的用第一工艺气体混合物中的CH4/Ar处理的基板的顶视图像和斜视图像。
图6A和图6B示出根据实施方式的用第一工艺气体混合物中的CHF3/Ar处理的基板的顶视图像和斜视图像。
图7A和图7B示出根据实施方式的用第一工艺气体混合物中的CH3F/Ar处理的基板的顶视图像和斜视图像。
图8是示出基准LER和在实施方式中的各种新处理中实现的LER的LER合成图表。
图9A示出在没有C4F8/Ar处理的情况下聚苯乙烯(PS)的基板图案结构的组合顶视图和斜视图,而图9B示出在所有的集成工艺之后在C4F8/Ar处理的情况下聚苯乙烯(PS)的基板图案结构的组合顶视图和斜视图。
图10A示出在没有CH4/Ar处理的情况下聚苯乙烯(PS)的基板图案结构的组合顶视图和斜视图,而图10B示出在PS开口蚀刻工艺之后在CH4/Ar处理的情况下聚苯乙烯(PS)的基板图案结构的组合顶视图和斜视图。
图11A示出在没有CH4/Ar处理的情况下聚苯乙烯(PS)的基板图案结构的组合顶视图和斜视图,而图11B示出在CH4/Ar第一处理的情况下聚苯乙烯(PS)的基板图案结构的组合顶视图和斜视图,图像在APF开口蚀刻工艺之后获得。
图12A示出线和间隔图像的顶视图,其中图12B示出线和间隔图像的斜视图,并且其中图12C是线和间隔图像的侧视图,其中进行C4F8/Ar第一处理,图像在完全氧化和APF蚀刻工艺之后获得。
图13A示出线和间隔图像的顶视图,其中图13B示出线和间隔图像的斜视图,并且其中图13C是线和间隔图像的侧视图,其中进行CH4/Ar第一处理,图像在完全氧化和APF蚀刻工艺之后获得。
图14A示出线和间隔图像的顶视图,其中图14B示出线和间隔图像的斜视图,并且其中图14C是线和间隔图像的侧视图,其中进行CHF3/Ar第一处理,图像在完全氧化和APF蚀刻工艺之后获得。
图15A示出线和间隔图像的顶视图,其中图15B示出线和间隔图像的斜视图,并且其中图15C是线和间隔图像的侧视图,其中进行CH3F/Ar第一处理,图像在完全氧化和APF蚀刻工艺之后获得。
图16是在本发明的一个实施方式中使用定向自组装图案化工艺执行基板的集成工艺的方法的示例性工艺流程图。
图17A是在结构图案的第一处理工艺中作为Ar流量的函数的等离子体物质的沉积速率的示例性图表,而图17B是在结构图案的第一处理工艺中作为C4F8流量的函数的等离子体物质的沉积速率的示例性图表,而图17C是作为在X轴上以秒为单位的沉积时间的函数的在Y轴上以为单位的沉积深度的示例性图表。
图18是示出处理计量数据以有利于操作的过程控制以及实现集成目的的集成系统的控制器的示例性系统图。
具体实施方式
在以下描述中,为了说明且非限制的目的,阐述了具体的细节,例如处理系统的特定几何形状、对在本文中使用的各种部件和工艺的描述。然而,应该理解的是,本发明可以在脱离这些具体细节的其他实施方式中实践。
类似地,为了说明的目的,阐述了具体的数字、材料以及配置,以便提供对本发明的透彻理解。然而,可以在没有具体细节的情况下实践本发明。此外,可以理解的是,附图中示出的各种实施方式是说明性表示并且不一定按比例绘制。
各种操作将以最有助于理解本发明的方式依次描述为多个分立的操作。然而,描述的顺序不应该被理解为暗示这些操作必定依赖于顺序。特别地,这些操作不一定以呈现的顺序执行。所描述的操作可以以与所描述的实施方式相比不同的顺序来执行。各种附加的操作可以被执行和/或所描述的操作在附加实施方式中可以省略。
如在本文中所使用的,术语“辐射敏感材料”意指且包括感光材料例如光致抗蚀剂。
如在本文中所使用的,术语“聚合物嵌段”意指且包括将单一类型(即,均聚物嵌段)或多种类型(即,共聚物嵌段)的结构单元的多个单体单元分组成一定长度的连续聚合物链,其与不同单体类型的其他聚合物嵌段形成甚至更长的更大聚合物的一部分并且呈现足以发生相分离的XN值。X是Flory-Huggins相互作用参数并且N是嵌段共聚物的总聚合度。根据本发明的实施方式,在更大的共聚物中的一个聚合物嵌段与至少一个其他聚合物嵌段的XN值可以等于或大于约10.5。
如在本文中所使用的,术语“嵌段共聚物”意指且包括由链组成的聚合物,其中每个链包含如上所限定的两种或更多种聚合物嵌段并且嵌段中的至少两个嵌段具有对于使嵌段相分离而言足够的分离强度(例如,XN>10.5)。在本文中预期各种嵌段聚合物包括二嵌段共聚物(即,包括两种聚合物嵌段(AB)的聚合物)、三嵌段共聚物(即,包括X三个聚合物嵌段(ABA或ABC)的聚合物)、多嵌段共聚物(即,包括多于三种聚合物嵌段(ABCD等)的聚合物)及其组合。
如在本文中所使用的“基板”通常指的是根据发明被处理的对象。基板可以包括器件特别是半导体或其他电子器件的任何材料部分或结构,并且可以是例如基础基板结构例如半导体晶片或者基础基板结构上或覆盖基础基板结构的层例如薄膜。基板可以是常规的硅基板或者是包括半导体材料的层的其他块体基板。如在本文中所使用的,术语“块体基板”意指且包括不仅硅晶片,还有绝缘体上硅(“SOI”)基板(例如蓝宝石上硅(“SOS”)基板和玻璃上硅(“SOG”)基板)、基础半导体基底上的硅外延层以及其他半导体或光电材料例如硅-锗、锗、砷化镓、氮化镓和磷化铟。基板可以掺杂或不掺杂。因此,基板不旨在限制任何特定基础结构、底层或覆盖层、图案化或非图案化,而是预期包括任何这样的层或基础结构以及层和/或基础结构的任何组合。下面的描述可能参考特定类型的基板,但是这仅是为了说明的目的而非限制。
如在本文中所使用的术语“微相分离(microphase separation)”和“微相隔离(microphase segregation)”意指且包括如下特性,通过该特性,嵌段共聚物的同质嵌段互相聚合并且异质嵌段分离成不同的域。在块体中,嵌段共聚物可以自组装成有序的形态,具有球状、柱状、层状、双连续螺旋形或杂臂星形微区(microdomain),其中嵌段共聚物的分子量决定所形成微区的尺寸。
自组装嵌段共聚物形态的域尺寸或间距周期(Lo)可以用作用于设计图案化结构的临界尺寸的基础。相似地,结构周期(Ls)可以用作用于设计图案化结构的临界尺寸的基础,该结构周期(Ls)是在选择性地蚀刻掉嵌段共聚物的聚合物嵌段之一之后剩余的特征的尺寸。组成嵌段共聚物的聚合物嵌段中的每一个的长度可以是对由那些嵌段共聚物的聚合物嵌段形成的域的尺寸的固有限制。例如,聚合物嵌段中的每一个可以被选择成具有有利于自组装成期望的域图案的长度,并且较短和/或较长的共聚物可以如期望地不能自组装。
如在本文中所使用的术语“进行退火(annealing)”或“退火(anneal)”意指且包括:处理嵌段共聚物以便实现嵌段共聚物的两种或更多种不同聚合物嵌段组分之间的足够微相分离,以形成通过重复从聚合物嵌段形成的结构单元而限定的有序图案。本发明中的嵌段共聚物的退火可以通过本领域已知的各种方法来实现,该方法包括但不限于:热退火(在真空中或在惰性气氛例如氮气或氩气中)、溶剂蒸汽辅助退火(在室温或高于室温)、超临界流体辅助退火或基于吸收的退火(例如,光烘烤)。作为具体示例,嵌段共聚物的热退火可以通过将嵌段共聚物暴露至升高的温度来进行,该温度高于玻璃化转变温度(Tg)但是低于嵌段共聚物的分解温度(Td),如在下文中更加详细地描述的那样。也可以使用在本文中未描述的其他常规退火方法。
可以使用嵌段共聚物自组织的能力来形成掩模图案。嵌段共聚物由两种或更多种化学上不同的嵌段形成。例如,每个嵌段可以由不同单体形成。嵌段是互不相溶的或热力学不相容的,例如一个嵌段可能是极性的而另一个可能是非极性的。由于热力学效应,共聚物将会在溶液中自组织以使整个系统的能量最小化,典型地,这导致共聚物相对于彼此移动,例如使得相同的嵌段聚集在一起,由此形成交替的含有每个嵌段类型或种类的区域。例如,如果共聚物由极性(例如,含有机金属的聚合物)和非极性嵌段(例如,烃类聚合物)形成,则嵌段将会分离使得非极性嵌段与其他非极性嵌段聚集并且极性嵌段与其他极性嵌段聚集。将理解的是,嵌段共聚物可以被描述成自组装材料,原因是嵌段可以在没有主动施加外力以引导特定各个分子的移动的情况下移动以形成图案,然而可以施加热量以整体增加分子群的移动的速率。
除了聚合物嵌段物质之间的相互作用,嵌段共聚物的自组装可能受形貌特征影响,例如从嵌段共聚物沉积的水平表面垂直地延伸的台阶或引导物。例如,二嵌段共聚物——由两种不同聚合物嵌段物质形成的共聚物——可以形成交替的域或区域,上述域或区域各自由基本上不同的聚合物嵌段物质形成。当聚合物嵌段物质的自组装发生在台阶或引导物的垂直壁之间的区域中时,台阶或引导物可能与聚合物嵌段相互作用,使得例如由嵌段形成的交替区域中的每一个形成有规律地间隔的图案,其具有通常平行于壁和水平表面定向的特征。
这种自组装在半导体制造过程期间可以用于形成使特征图案化的掩模。例如,可以去除交替域之一,由此留下形成其他区域的材料以用作掩模。掩模可以用于使特征例如下层半导体基板中的电子器件图案化。用于形成嵌段共聚物掩模的方法被公开于美国专利第7,579,278号、美国专利第7,723,009号以及由Sommervell等人于2013年3月14日提交的美国申请第13/830,859号CHEMI-EPITAXY IN DIRECTED SELF-ASSEMBLY APPLICATIONSUSING PHOTO-DECOMPOSABLE AGENTS,上述项中的每一个的全部内容通过引用并入本文中。
在材料处理方法中,图案蚀刻可以包括将辐射敏感材料的薄层例如光致抗蚀剂施加至基板的上表面,接着使用光刻技术使材料的薄层图案化。在DSA图案化中,通过如下方式来形成初始图案,由此为后续干图案蚀刻提供图案:DSA层中存在的两个或多个相的相分离;使用干图案蚀刻的至少一个相的选择性去除;以及至少一个剩余相的保留。在干图案蚀刻期间,可以使用等离子体蚀刻工艺,其中通过将电磁(EM)能量例如射频(RF)功率耦合至工艺气体来从工艺气体形成等离子体,以便加热电子并引起工艺气体的原子和/或分子组分的后续电离和离解。使用一系列的干蚀刻工艺,可以在DSA层中形成初始图案,接着将图案转移至薄堆叠中的底层,该薄堆叠包括最终产品例如电子器件所期望的一个或更多个材料层。为此,需要相对于其他材料选择性去除一种材料。并且,除此之外,在图案转移过程期间,对延伸至底层的图案的轮廓控制非常重要。
如上所述,定向自组装嵌段共聚物层例如聚苯乙烯-b-聚(甲基丙烯酸甲酯)(PS-b-PMMA)已证明在亚22nm图案化方案中有用。然而,为了在保留PS部分的同时去除自组装PMMA部分,需要高选择性蚀刻工艺。
现参照附图,在附图中,贯穿若干视图,相似的附图标记指代相同或对应部分。
图1A示出在定向自组装图案化工艺的开始的基板104的现有技术示意图100。顶层116是包括第一材料106和第二材料108的结构图案。第一材料106和第二材料108可以是定向自组装材料。定向自组装材料可以是嵌段共聚物(BCP)。第一材料106可以是第一嵌段共聚物并且第二材料108可以是第二嵌段共聚物。第一嵌段共聚物可以是PMMA并且第二共聚物可以是聚苯乙烯(PS)。中性层112连接两个第二材料108。接下来的层包括硅氧化物层122、预先图案膜(APF)层124、硅氮化物层126以及钛氮化物层128。
图1B示出在用定向自组装图案化工艺蚀刻中性层150的部分和第一材料之后留下第二材料148和中性层150的部分的基板144中的结构图案142的现有技术示意图140。图案化工艺包括:使用包括O2/Ar的气体混合物进行的第一材料蚀刻、使用包括Ar的气体混合物进行的零层蚀刻(null layer etch)以及使用包括HBr/Ar的气体混合物进行的固化工艺。接下来的层包括硅氧化物层156、APF层160、(使用包括气体混合物的)硅氮化物层164以及钛氮化物层168。
图1C示出经受旋转涂布玻璃(SOG)和旋转涂布碳(SOC)蚀刻工艺之后的基板184中的结构图案182的现有技术示意图180。SOG蚀刻工艺使用包括C4F8和O2的气体混合物。SOC蚀刻工艺使用包括H2/N2的气体混合物来通过硅氧化物层188和通过APF层194转移图案,留下硅氮化物层196和钛氮化物层198。
图2A示出根据本发明的实施方式的在具有第一材料206和第二材料208的结构图案222的图案化工艺的开始的输入基板204的示意图200。处理步骤与关于图1A讨论的处理步骤相同。顶层216是包括第一材料206和第二材料208的结构图案222。第一材料206和第二材料208可能是定向自组装材料。定向自组装材料可以是嵌段共聚物(BCP)。第一材料206可以是第一嵌段共聚物并且第二材料208可以是第二嵌段共聚物。第一嵌段共聚物可以是PMMA并且第二共聚物可以是聚苯乙烯(PS)。中性层212连接两个第二材料208。接下来的层包括硅氧化物层218、预先图案膜(APF)层224、硅氮化物层226以及钛氮化物层228。除了以上列出的那些之外,接下来的层可以包括类似的其他材料。
图2B示出根据本发明的实施方式的在输入基板的第一材料蚀刻、中性层蚀刻以及固化蚀刻处理之后的基板244中的结构图案242的示意图240。处理步骤与关于图1B讨论的处理步骤相同。使用定向自组装图案化工艺蚀刻中性层250的部分和第一材料,留下第二材料248和中性层250的部分。图案化工艺包括:使用包括O2/Ar的气体混合物进行的第一材料蚀刻、使用包括Ar的气体混合物进行的零层蚀刻以及使用包括HBr/Ar的气体混合物进行的固化工艺。接下来的层包括硅氧化物层256、APF层260、硅氮化物层264以及钛氮化物层268。除了以上列出的那些之外,接下来的层可以包括类似的其他材料。
图2C示出根据本发明的实施方式的在使用第一工艺气体混合物以在基板274上形成第一图案280的第一处理工艺之后的基板274中的结构图案272的示意图270。使用第一工艺气体的第一处理工艺用于在基板274上形成第一图案280,第一图案280包括在中性层278的顶部上的第二材料276的一部分。第一工艺气体混合物包括CxHyFz和氩气的混合物。CxHyFz可以是C4F8/AR、CH4/Ar、CH3F/Ar或CHF3/Ar。CxHyFz可以具有从20sccm至35sccm的流量并且氩气可以具有从40sccm至60sccm的流量。接下来的层包括硅氧化物层282、APF层284、硅氮化物层286以及钛氮化物层288。除了以上列出的那些之外,接下来的层可以包括类似的其他材料。
图2D示出根据本发明的实施方式的在使用第二工艺气体混合物执行第二处理工艺以在基板304上形成第二图案之后的基板304中的结构图案302的示意图300,第二工艺气体包括低含氧气体和氩气的混合物。低含氧气体可以是处于2sccm至5sccm的O2并且Ar可以是40sccm至70sccm。接下来的层包括硅氧化物层312、APF层316、硅氮化物层320以及钛氮化物层324。
图2E示出在经受旋转涂布玻璃(SOG)和旋转涂布碳(SOC)蚀刻工艺之后的包括基板334中的顶部分的结构图案332的示意图330。SOG蚀刻工艺使用包括C4F8和O2的气体混合物。SOC蚀刻工艺使用包括H2/N2的气体混合物,以通过硅氧化物层342和通过APF层346转移图案,留下硅氮化物层344和钛氮化物层352。
图3A是在执行一系列常规定向自对准工艺之后的基板354中得到的图案结构的示例性顶视图像350。基板354的线和间隔358的图像350用作用于与使用本发明的新工艺产生的结果比较的基础情形。图3B示出突显图3A中示出的线和间隔378的特征的基板374的倾斜图像370。
图4A示出根据实施方式的用第一工艺气体混合物中的C4F8/Ar处理的基板402的顶视图像400,并且图4B示出根据实施方式的用第一工艺气体混合物中的C4F8/Ar处理的基板452的斜视图像450。与图3A和图3B中所示的用常规技术处理的基础情形的线和间隔358、378的LER相比,图像400、450在基板的线和间隔404、454的LER方面呈现出更好的质量。在图8中的图表中呈现通过本发明的实施方式实现的与使用现有技术的基础情形中获得的LER相比的LER的情况。
图5A和图5B示出根据实施方式的用第一工艺气体混合物中的CH4/Ar处理的基板的顶视图像500和斜视图像550。与图3A和图3B中所示的用常规技术处理的基础情形的线和间隔358、378的LER相比,图像500在基板504的线和间隔508的LER方面并且图像550在基板564的线和间隔568的LER方面呈现出更好的质量。
图6A和图6B示出根据实施方式的用第一工艺气体混合物中的CHF3/Ar处理的基板的顶视图像600和斜视图像650。与图3A和图3B中所示的用常规技术处理的基准情形的线和间隔358、378的LER相比,图像600在基板602的线和间隔604的LER方面并且图像650在基板652的线和间隔654的LER方面呈现出更好的质量。
图7A和图7B示出根据实施方式的用第一工艺气体混合物中的CH3F/Ar处理的基板的顶视图像700和斜视图像750。与图3A和图3B中所示的用常规技术处理的基准情形的线和间隔358、378的LER相比,图像700在基板702的线和间隔704的LER方面并且图像750在基板752的线和间隔754的LER方面呈现出更好的质量。
图8是示出基准LER 804和在实施方式中的各种新处理中实现的LER的LER合成图表800。使用CH4/Ar在约1.8nm处的LER 812具有最好的LER;使用C4F8/Ar在约2.2nm处的LER808次之;使用CHF3/Ar在约2.3nm处的LER 816次之;以及最后使用CH3F/Ar在约2.4nm处的LER 820最次,但仍优于使用常规蚀刻技术的基准情形的在约2.8nm处的LER 804。如上所述,随着器件尺寸的缩小,LER的改善是获得所需器件性能的重要因素。
图9A示出在没有C4F8处理的情况下聚苯乙烯(PS)的基板902图案结构的组合顶视图900和斜视图906,而图9B示出在C4F8/Ar处理的情况下聚苯乙烯(PS)的基板952图案结构的组合顶视图950和斜视图956。在C4F8/Ar处理的情况下线和间隔图像954、958具有比在没有处理的情况下线和间隔图像904、908大体上更好的LER。
图10A示出在没有CH4处理的情况下聚苯乙烯(PS)的基板1002图案结构的组合顶视图1000和斜视图1010,而图10B示出在CH4/Ar处理的情况下聚苯乙烯(PS)的基板1052图案结构的组合顶视图1050和斜视图1060。在CH4/Ar处理的情况下线和间隔图像1054、1058具有比在没有处理的情况下线和间隔图像1004、1008大体上更好的LER。
图11A示出在没有CH4/Ar处理的情况下聚苯乙烯(PS)的基板1102图案结构的组合顶视图1100和斜视图1110,而图11B示出在CH4/Ar处理的情况下聚苯乙烯(PS)的基板1152图案结构的组合顶视图1150和斜视图1160。在CH4/Ar处理的情况下线和间隔图像1154、1158顶视图和斜视图分别具有比在没有处理的情况下线和间隔图像1104、1108顶视图和斜视图各自大体上更好的LER。
图12A示出基板1202的线和间隔图像1204的顶视图1200,而图12B示出基板1202的线和间隔图像1234的斜视图1230,而图12C是基板1202的线和间隔图像1272的侧视图1260,其中进行C4F8/Ar第一处理,图像在完全氧化和APF蚀刻工艺之后获得。图12C也示出结构的临界尺寸(CD),包括间距1264、底部CD 1266、结构帽的高度1262以及结构帽以下的高度1268。基板的临界尺寸在蚀刻方案的各个步骤中被严格控制以实现目标集成目的。
图13A示出基板1304的线和间隔图像1308的顶视图1300,而图13B示出基板1304的线和间隔图像1338的斜视图1330,而图13C是基板1304的线和间隔图像1370的侧视图1360,其中进行CH4/Ar第一处理,图像在PS蚀刻工艺之后获得。图13C也示出结构的临界尺寸(CD),包括间距1364、底部CD 1366、结构帽的高度1362以及结构帽以下的高度1368。基板的临界尺寸在蚀刻方案的各个步骤中被严格控制以实现目标集成目的。
图14A示出基板1404的线和间隔图像1408的顶视图1400,而图14B示出基板1404的线和间隔图像1458的斜视图1450,而图14C是基板1404的线和间隔图像1472的侧视图1460,其中进行CHF3/Ar第一处理,图像在完全氧化和APF蚀刻工艺之后获得。图14C也示出结构的临界尺寸(CD),包括第一间距1464、底部CD 1466、结构帽的高度1462、结构帽以下的高度1468以及第二间距1470。基板的临界尺寸在蚀刻方案的各个步骤中被严格控制以实现目标集成目的。
图15A示出基板1504的线和间隔图像1508的顶视图1500,而图15B示出基板1504的线和间隔图像1538的斜视图1530,而图15C是基板1504的线和间隔图像1572的侧视图1560,其中进行CH3F/Ar第一处理,图像在完全氧化和APF蚀刻工艺之后获得。图15C也示出结构的临界尺寸(CD),包括间距1562、底部CD 1568、结构帽的高度1564以及结构帽以下的高度1566。基板的临界尺寸在蚀刻方案的各个步骤中被严格控制以实现目标集成目的。
图16是在本发明的一个实施方式中用于对使用图案化方案的基板执行集成工艺的方法的示例性工艺流程图1600。在操作1604中,具有结构图案和底层的基板布置在蚀刻系统中,结构图案包含第一材料、第二材料以及中性层,其中底层包括氧化物层、预先图案膜(APF)层、硅氮化物层以及钛氮化物层。在实施方式中,第一材料和第二材料可以是定向自组装材料。定向自组装材料可以是嵌段共聚物(BCP)。在另一实施方式中,第一材料可以是第一嵌段共聚物并且第二材料可以是第二嵌段共聚物。在又一实施方式中,第一嵌段共聚物可以是PMMA并且第二共聚物可以是聚苯乙烯(PS)。也可以使用其他材料或定向自组装材料或聚合物。
在操作1608中,执行初始蚀刻工艺以使用初始工艺气体混合物选择性地去除中性层和第一材料,同时保留第二材料,以便在基板上形成初始图案。初始气体混合物可以包括O2/Ar,其中O2具有约50sccm的流量并且Ar具有约850sccm的流量达约8秒。在实施方式中,第一材料是PMMA且第二材料是PS,并且初始蚀刻工艺选择性地去除中性层和PMMA,留下PS。初始蚀刻也包括使用处于约200sccm的流量达约10秒的AR来进行PS处理。在操作1612中,使用处于约90sccm的HBr和处于约20sccm的CH3F达约10秒来对基板上的初始图案执行固化工艺。可以使用其他化学物质和相应操作变量范围来执行初始蚀刻和固化工艺。
在操作1616中,执行使用第一工艺气体混合物的第一处理工艺,以在基板上形成第一图案。第一工艺气体混合物可以包括CxHyFz和氩气的混合物。CxHyFz可以是C4F8/Ar、CH4/Ar、CH3F/Ar、CHF3/Ar等。也可以使用具有CxHyFz和氩气的功能的其他气体混合物。CxHyFz可以具有从5sccm至35sccm、从5sccm至25sccm的流量,并且氩气可以具有从40sccm至60sccm或从61sccm至184sccm的流量。
在另一实施方式中,CxHyFz可以在5sccm至25sccm范围内以及Ar可以为40sccm至60sccm,压力可以在从40mT至60mT范围内,上功率100瓦至350瓦,下功率可以是0瓦或30瓦至60瓦以及工艺时间可以在从5秒至20秒的范围内。
在操作1620中,执行使用第二工艺气体混合物的第二处理工艺,以在基板上形成第二图案。第二工艺气体可以包括低含氧气体和氩气的混合物。在操作1624中,使用C4F8和氧气的气体混合物蚀刻氧化物层来执行旋转涂布玻璃(SOG)处理工艺。在操作1628中,使用氢气和氮气的气体混合物蚀刻硅氮化物层来执行旋转涂布碳(SOC)处理工艺。
在操作1632中,在图案处理、固化和蚀刻工艺期间并行控制选择的操作变量以实现集成目的。目标集成目的可以包括基板上的图案的目标线边缘粗糙度(LER)(可以在从1.60nm至2.50nm或从1.0nm至1.5nm的范围内)、没有残留基脚(footing)以及目标基板产量。
发明人进行了以下测试,该测试识别对实现集成目的做出最大贡献的操作变量的最优范围。前七个操作变量包括:源功率(或高射频功率)、静电卡盘(chuck)温度、偏置功率(或低频功率)、氩气流量、CxHyFz流量、工艺时间以及在处理室中的压力。第一选择操作变量可以具有针对良好结果的其自身的最优范围,但这些结果需要与可以相互作用并影响选择变量的其他操作变量的影响相平衡。例如,基板上的等离子体物质的目标沉积速率可以在工艺气体混合物中的CxHyFz的流量处产生良好的操作值,但是如果相同工艺气体混合物中的另一气体例如氩气的流量变化,则将产生不同的沉积速率。因此,对于本发明的不同应用和实施方式需要执行对实现集成目的呈现显著敏感性的操作变量的多个同时优化。
图17A、图17B和图17C示出实现等离子体物质的目标沉积速率所需的确定第一处理工艺中的C4F8和氩气的流量的示例。现在参照图17A,图17A是等离子体物质的在Y轴上以(埃)/秒为单位的沉积速率1702的示例性图表1700。C4F8被设定为固定值,并且氩气流量1706在X轴上从50sccm至200sccm变化且由曲线1704突显。使用约/>/秒的沉积速率作为参考。
图17B是在结构图案的第一处理工艺中作为CxHyFz流量的函数的等离子体物质的沉积速率1732的示例性图表1730。Ar被设定为固定值,并且以sccm为单位的C4F8的流量1738在X轴上从25sccm至45sccm变化,这在曲线1736中突显。为了实现在图17A中用作参考的约/秒的沉积速率,C4F8的相当流量是约46sccm。图17C是作为在X轴上以秒为单位的沉积时间1766的函数的在Y轴上以/>为单位的沉积深度1762的示例性图表1760,这在曲线1764中突显。
图18是用于执行一系列蚀刻、固化、SOG、SOC、气体处理、后热处理以及其他工艺的系统1800的实施方式。在另一实施方式中,系统1800还可以被配置成用于固化和蚀刻BCP层。在图18中描述被配置成执行以上识别的工艺条件的蚀刻处理系统1800,该系统包括:处理室1810;基板保持器1820,待处理的基板1825被附至基板保持器1820上;以及真空泵系统1850。基板1825可以是半导体基板、晶片、平板显示器或液晶显示器。处理室1810可以被配置成有利于蚀刻在基板1825的表面的附近的处理区域1845。工艺气体的混合物或电离气体经由气体分配系统1840引入。对于给定的工艺气体流,使用真空泵系统1850调节处理电压。
固化等离子体可以包括化学物质例如Ar/H2、HBr、N2/H2等,其具有生成用于聚合物固化的VUV光子通量的能力。固化效应可以增强PS区域的抗蚀刻性,由此在等离子体PMMA去除期间冻结体缺陷。在这种实施方式中,改善了使用CDSEM的缺陷可见性。因此,计量过程可以量化由VUV固化工艺使得可见的缺陷并且生成顶层的缺陷轮廓。因此,可以获得反馈以用于光刻工艺的优化以及用于另外的缺陷的减轻。
基板1825可以经由夹持系统(未示出)例如机械夹持系统或电子夹持系统(例如,静电夹持系统)被固定至基板保持器1820。此外,基板保持器1820可以包括被配置成调节和/或控制基板保持器1820和基板1825的温度的加热系统(未示出)或冷却系统(未示出)。加热系统或冷却系统可以包括传热流体的再循环流,其在冷却时接收来自基板保持器1820的热量并且将热量传递至热交换系统(未示出),或者在加热时将来自热交换系统的热量传递至基板保持器1820。在其他实施方式中,可以在基板保持器1820、处理室1810的室壁以及处理系统1800内的任何其他部件中包括加热/冷却元件例如电阻加热元件或热电加热器/冷却器。
此外,传热气体可以经由背面供气系统1826传送至基板1825的背面,以便提高基板1825与基板保持器1820之间的气隙热导率。当在升高或降低的温度下需要基板的温度控制,可以使用这样的系统。例如,背面供气系统可以包括两区域气体分配系统,其中可以独立地改变在基板1825的中心与边缘之间的氦气隙压力。
在图18中所示的实施方式中,等离子体处理系统1800还可以包括耦接至与基板1825相对的上电极1870的直流(DC)电源1890。上电极1870可以包括电极板。电极板可以包括含硅电极板。此外,电极板可以包括掺杂硅电极板。DC电源1890可以包括可变DC电源。此外,DC电源1890可能包括双极DC电源。DC电源1890还可以包括被配置成执行监测、调节或控制极性、电流、电压或DC电源1890的接通/关断状态中的至少之一的系统。一旦形成等离子体,则DC电源1890有利于弹道电子束的形成。可以使用电滤波器(未示出)对来自DC电源1890的RF功率进行去耦。
在图18中所示的实施方式中,基板保持器1820可以包括电极1822,RF功率通过电极1822耦合至处理区域1845。例如,基板保持器1820可以经由来自RF发生器1830的RF功率通过可选的阻抗匹配网络1832至基板保持器1820的传输而被电偏置在RF电压处。RF电偏置可以用于加热电子以形成和保持等离子体。在这种配置中,系统1800可以作为反应离子蚀刻(RIE)反应器操作,其中腔室和上部气体注入电极用作接地表面。
此外,可以使用脉冲偏置信号控制器1831对电极1822在RF电压下的电偏置进行脉冲调节。例如,从RF发生器1830输出的RF功率可以在关断状态和接通状态之间进行脉冲调节。替选地,RF功率在多个频率下被施加至基板保持器电极。此外,阻抗匹配网络1832可以通过降低反射功率来提高在等离子体处理室1810中RF功率至等离子体的转移。匹配网络拓扑(例如,L型、π型、T型等)和自动控制方法是本领域技术人员公知的。
气体分配系统1840可以包括用于引入工艺气体的混合物的喷头设计。替选地,气体分配系统1840可以包括用于引入工艺气体的混合物并且调节基板1825上方的工艺气体的混合物的分配的多区域喷头设计。例如,多区域喷头设计可以被配置成相对于至基板1825上方的基本中心区域的组合物或工艺气体流的量调节至基板1825上方的基本外围区域的组合物或工艺气体流。在这样的实施方式中,气体可以以合适的组合分配以在室1810内形成VUV固化等离子体和/或干蚀刻等离子体。
真空泵系统1850可以包括能够达到每秒约18000升(以及更大)的抽速的涡轮分子真空泵(TMP)和用于调节腔室压力的闸门阀。在用于干等离子体蚀刻的常规等离子体处理装置中,可以使用每秒800升至3000升的TMP。TMP用于低压处理,典型地小于约50mTorr。对于高压处理(即大于约180mTorr),可以使用机械增压泵和干式低真空泵。此外,用于监测腔室压力的装置(未示出)可以耦接至等离子体处理室1810。
在实施方式中,控制器1855可以包括微处理器、存储器以及数字I/O端口,其能够生成足以传送和激活至处理系统1800的输入以及监测来自等离子体处理系统1800的输出的控制电压。此外,控制器1855可以与RF发生器1830、脉冲偏置信号控制器1831、阻抗匹配网络1832、气体分配系统1840、真空泵系统1850以及基板加热/冷却系统(未示出)、背面供气系统1826和/或基板保持器1820耦接并且交换信息。例如,根据工艺方法,可以使用存储在存储器中的程序来激活至处理系统1800的上述组件的输入,以便执行基板1825上的等离子体辅助工艺例如等离子体蚀刻工艺或后加热处理工艺。
另外,处理系统1800还可以包括上电极1870,RF功率可以从RF发生器1872通过可选的阻抗匹配网络1874耦合至上电极1870。在一个实施方式中,用于将RF功率施加至上电极的频率可以在约0.1MHz至约200MHz的范围内。替选地,本实施方式可以与被配置成在GHz频率范围内操作的电感耦合等离子体(ICP)源、电容耦合等离子体(CCP)源、径向线槽天线(RLSA)源、被配置成在亚GHz到GHz范围内操作的电子回旋共振(ECR)源等一起使用。另外,用于将功率施加至下电极的频率可以在约0.1MHz至约180MHz的范围内。此外,控制器1855耦接至RF发生器1872和阻抗匹配网络1874,以便控制施加RF功率至上电极1870。上电极的设计和实施是本领域技术人员公知的。上电极1870和气体分配系统1840可以设计在同一室组件内,如所示。替选地,上电极1870可以包括用于调节耦合至基板1825上方的等离子体的RF功率分配的多区域电极设计。例如,上电极1870可以分为中心电极和边缘电极。
根据申请,附加的装置例如传感器或计量装置可以耦接至处理室1810和控制器1855,以收集实时数据并且使用这样的实时数据并行控制涉及集成方案的沉积工艺、RIE工艺、拉动工艺、轮廓改动工艺、加热处理工艺和/或图案转移工艺的两个或更多个步骤中的两个或更多个选择的集成操作变量。此外,可以使用相同的数据确保实现集成目标,包括后热处理的完成、图案均匀性(均匀性)、结构下拉(下拉)、结构的减小(减小)、结构的纵横比(纵横比)、线宽粗糙度、基板产量、固有成本等。
尽管以上仅详细描述了本发明的某些实施方式,但是本领域技术人员将容易理解,在没有实质上偏离本发明的新颖教导和优点的情况下,实施方式中的许多修改是可以的。因此,所有的这些修改旨在包括在本发明的范围内。

Claims (19)

1.一种使用集成方案使基板上的层图案化的方法,所述方法包括:
将基板布置在处理室中,所述基板具有结构图案层、中性层和底层,所述结构图案层包括第一材料和第二材料;
使用第一工艺气体混合物来执行第一处理工艺,以在所述基板上形成第一图案,所述第一工艺气体混合物包括CxHyFz和氩气的混合物;
使用第二工艺气体混合物来执行第二处理工艺,以在所述基板上形成第二图案,所述第二工艺气体混合物包括低含氧气体和氩气的混合物;
并行控制所述集成方案的选择的两个或更多个操作变量,以便实现目标集成目的,
其中,在执行所述第一处理工艺之前:
执行初始蚀刻工艺以使用初始工艺气体混合物选择性地去除所述中性层和所述第一材料同时保留所述第二材料,以便在所述基板上形成初始图案;以及
对所述基板上的初始图案执行固化工艺。
2.根据权利要求1所述的方法,其中,所述第一材料是第一自组装材料,并且所述第二材料是第二自组装材料。
3.根据权利要求2所述的方法,其中,所述第一自组装材料是第一嵌段共聚物,并且所述第二自组装材料是第二嵌段共聚物。
4.根据权利要求3所述的方法,
其中,所述第一嵌段共聚物是聚(甲基丙烯酸甲酯),并且所述第二嵌段共聚物是聚苯乙烯;以及/或者
其中,所述CxHyFz是C4F8、CH4、CHF3或CH3F。
5.根据权利要求4所述的方法,
其中,所述第一嵌段共聚物相对于所述第二嵌段共聚物的目标蚀刻选择性在从2.0nm至3.0nm的范围内,以及/或者
其中,所述目标集成目的包括:所述基板上的图案的目标线边缘粗糙度(LER)在从1.5nm至2.4nm的范围内、没有残留基脚和/或目标基板产量。
6.根据权利要求5所述的方法,其中,所述底层包括氧化物层、预先图案(APF)层、硅氮化物层以及钛氮化物层。
7.根据权利要求6所述的方法,其中,在执行所述第二处理工艺之后:
使用C4F8和氧气的气体混合物来执行旋转涂布玻璃(SOG)处理工艺,以蚀刻所述氧化物层;以及
使用氢气和氮气的气体混合物来执行旋转涂布碳(SOC)处理工艺,以蚀刻所述硅氮化物层。
8.根据权利要求1所述的方法,其中,所述第一处理工艺中的CxHyFz是C4F8,并且所述第二工艺气体混合物包括O2和氩气。
9.根据权利要求1所述的方法,其中,所述第一处理工艺中的CxHyFz是CH4,并且所述第二工艺气体混合物包括O2和氩气。
10.根据权利要求1所述的方法,其中,所述第一处理工艺中的CxHyFz是CH3F,并且所述第二工艺气体混合物包括O2和氩气。
11.根据权利要求1所述的方法,其中,所述第一处理工艺中的CxHyFz是CHF3,并且所述第二工艺气体混合物包括O2和氩气。
12.根据权利要求1所述的方法,其中,所述目标集成目的包括所述基板上的图案的目标线边缘粗糙度在从1.0nm至1.5nm的范围内。
13.根据权利要求1所述的方法,其中,所述两个或更多个操作变量包括源功率、偏置功率、上射频频率、下射频频率、压力、静电卡盘(ESC)温度、所述第一工艺气体混合物中的每种气体的流量、所述第二工艺气体混合物中的每种气体的流量、初始工艺气体混合物中的每一种的流量以及工艺时间。
14.根据权利要求13所述的方法,其中,源功率是从100W至350W的范围,并且偏置功率在从30W至60W的范围内。
15.根据权利要求13所述的方法,其中,静电卡盘(ESC)温度在从0℃至40℃的范围内。
16.根据权利要求1所述的方法,其中,所述CxHyFz流量为从5sccm至30sccm。
17.根据权利要求1所述的方法,其中,所述第一处理工艺中的Ar流量在从50sccm至184sccm的范围内。
18.根据权利要求13所述的方法,其中,工艺时间在从5秒至18秒的范围内,并且压力在从10mT至40mT的范围内。
19.根据权利要求1所述的方法,其中,来自传感器和计量装置的原位测量结果用于调整和并行控制所述集成方案的选择的两个或更多个操作变量,以便实现目标集成目的。
CN201780013040.1A 2016-01-27 2017-01-25 满足线边缘粗糙度及其他集成目的的等离子体处理方法 Active CN108780738B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662287718P 2016-01-27 2016-01-27
US62/287,718 2016-01-27
US15/266,397 US9978563B2 (en) 2016-01-27 2016-09-15 Plasma treatment method to meet line edge roughness and other integration objectives
US15/266,397 2016-09-15
PCT/US2017/014902 WO2017132238A1 (en) 2016-01-27 2017-01-25 A plasma treatment method to meet line edge roughness and other integration objectives

Publications (2)

Publication Number Publication Date
CN108780738A CN108780738A (zh) 2018-11-09
CN108780738B true CN108780738B (zh) 2023-08-22

Family

ID=59360625

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780013040.1A Active CN108780738B (zh) 2016-01-27 2017-01-25 满足线边缘粗糙度及其他集成目的的等离子体处理方法

Country Status (6)

Country Link
US (1) US9978563B2 (zh)
JP (1) JP6997923B6 (zh)
KR (1) KR20180100440A (zh)
CN (1) CN108780738B (zh)
TW (1) TWI636489B (zh)
WO (1) WO2017132238A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10691019B2 (en) * 2016-10-07 2020-06-23 Jsr Corporation Pattern-forming method and composition
US20220021368A1 (en) * 2018-09-25 2022-01-20 Kyocera Corporation Composite substrate, piezoelectric device, and method for manufacturing composite substrate
CN111755566B (zh) * 2020-06-15 2022-03-11 中国电子科技集团公司第十一研究所 一种硅基碲化镉复合衬底预处理方法
KR102595941B1 (ko) * 2022-09-20 2023-10-27 성균관대학교산학협력단 플라즈마 식각 방법 및 플라즈마 식각 장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6962771B1 (en) * 2000-10-13 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process
CN101625960A (zh) * 2008-07-07 2010-01-13 旺宏电子股份有限公司 图案化的方法
CN102667623A (zh) * 2009-12-18 2012-09-12 国际商业机器公司 定向自组装方法以及由此形成的分层结构
JP2014075567A (ja) * 2012-09-13 2014-04-24 Tokyo Electron Ltd 被処理基体を処理する方法、及びプラズマ処理装置
JP2014099604A (ja) * 2012-11-14 2014-05-29 Imec ブロック共重合体を使用したエッチング
JP2015050201A (ja) * 2013-08-29 2015-03-16 東京エレクトロン株式会社 エッチング方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050158666A1 (en) * 1999-10-15 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple etch method for etching material etchable with oxygen containing plasma
US6623652B1 (en) * 2000-06-14 2003-09-23 International Business Machines Corporation Reactive ion etching of the lapped trailing edge surface of a slider
US7060626B2 (en) * 2003-06-25 2006-06-13 International Business Machines Corporation Multi-run selective pattern and etch wafer process
KR100574952B1 (ko) * 2003-11-04 2006-05-02 삼성전자주식회사 스플릿 게이트형 비휘발성 반도체 메모리 소자 제조방법
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
KR20090036031A (ko) 2007-10-08 2009-04-13 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US7846645B2 (en) * 2007-12-14 2010-12-07 Tokyo Electron Limited Method and system for reducing line edge roughness during pattern etching
JP5107842B2 (ja) * 2008-09-12 2012-12-26 東京エレクトロン株式会社 基板処理方法
US8084347B2 (en) * 2008-12-31 2011-12-27 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
JP5032511B2 (ja) * 2009-01-06 2012-09-26 株式会社東芝 半導体発光装置の製造方法と、それを用いて製造した半導体発光装置
US8168101B2 (en) * 2009-08-20 2012-05-01 General Electric Company Inorganic membrane devices and methods of making and using the same
WO2011094204A2 (en) * 2010-01-26 2011-08-04 Wisconsin Alumni Research Foundation Methods of fabricating large-area, semiconducting nanoperforated graphene materials
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US8828253B2 (en) 2010-09-09 2014-09-09 Asml Netherlands B.V. Lithography using self-assembled polymers
JP5365646B2 (ja) * 2011-01-31 2013-12-11 信越化学工業株式会社 レジストパターン形成方法
JP5973763B2 (ja) * 2012-03-28 2016-08-23 東京エレクトロン株式会社 自己組織化可能なブロック・コポリマーを用いて周期パターン形成する方法及び装置
EP2717296B1 (en) 2012-10-02 2016-08-31 Imec Etching of block-copolymers
JP2014086500A (ja) * 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
JP2015084396A (ja) * 2013-09-19 2015-04-30 東京エレクトロン株式会社 エッチング方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6962771B1 (en) * 2000-10-13 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process
CN101625960A (zh) * 2008-07-07 2010-01-13 旺宏电子股份有限公司 图案化的方法
CN102667623A (zh) * 2009-12-18 2012-09-12 国际商业机器公司 定向自组装方法以及由此形成的分层结构
JP2014075567A (ja) * 2012-09-13 2014-04-24 Tokyo Electron Ltd 被処理基体を処理する方法、及びプラズマ処理装置
JP2014099604A (ja) * 2012-11-14 2014-05-29 Imec ブロック共重合体を使用したエッチング
JP2015050201A (ja) * 2013-08-29 2015-03-16 東京エレクトロン株式会社 エッチング方法

Also Published As

Publication number Publication date
KR20180100440A (ko) 2018-09-10
US9978563B2 (en) 2018-05-22
TWI636489B (zh) 2018-09-21
JP6997923B2 (ja) 2022-01-18
WO2017132238A1 (en) 2017-08-03
JP6997923B6 (ja) 2022-07-26
CN108780738A (zh) 2018-11-09
US20170213700A1 (en) 2017-07-27
TW201732880A (zh) 2017-09-16
JP2019506000A (ja) 2019-02-28

Similar Documents

Publication Publication Date Title
US9666447B2 (en) Method for selectivity enhancement during dry plasma etching
KR102445013B1 (ko) 하드 마스크들을 사용하지 않고서 자기 정렬 패터닝 방식들에서 패턴 밀도를 증가시키는 방법
US9697990B2 (en) Etching method for a structure pattern layer having a first material and second material
US9607843B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9576816B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
CN108780738B (zh) 满足线边缘粗糙度及其他集成目的的等离子体处理方法
US9153457B2 (en) Etch process for reducing directed self assembly pattern defectivity using direct current positioning
KR20160044545A (ko) 하드마스크를 측면으로 트리밍하기 위한 방법
KR20170117480A (ko) Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
US9947597B2 (en) Defectivity metrology during DSA patterning
US10672618B2 (en) Systems and methods for patterning features in tantalum nitride (TaN) layer
US10049875B2 (en) Trim method for patterning during various stages of an integration scheme
US9773649B2 (en) Dry development and image transfer of si-containing self-assembled block copolymers
US10937664B2 (en) Surface modification process

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant