CN108700829A - 测量结构的方法、检查设备、光刻系统、器件制造方法 - Google Patents

测量结构的方法、检查设备、光刻系统、器件制造方法 Download PDF

Info

Publication number
CN108700829A
CN108700829A CN201780013518.0A CN201780013518A CN108700829A CN 108700829 A CN108700829 A CN 108700829A CN 201780013518 A CN201780013518 A CN 201780013518A CN 108700829 A CN108700829 A CN 108700829A
Authority
CN
China
Prior art keywords
radiation
variable
equipment
substrate
irradiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780013518.0A
Other languages
English (en)
Other versions
CN108700829B (zh
Inventor
帕特里克·华纳
西蒙·飞利浦·斯宾塞·哈斯廷斯
科斯特 阿萨法劳 阿尔伯托·达
卢卡斯·杰吉·马赫特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN108700829A publication Critical patent/CN108700829A/zh
Application granted granted Critical
Publication of CN108700829B publication Critical patent/CN108700829B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

检查设备(140)测量通过光刻工艺形成在衬底上的目标结构(T)的不对称性或其他属性。对于一组给定照射条件,所述测量的精度受到跨越整个衬底上和/或在衬底之间的工艺变化的强烈影响。该设备被配置成在所述照射条件的两个或更多个变量(p1‑,p1,p1+;λ1‑,λ1,λ1+)下收集由多个结构所散射的辐射。处理系统(PU)被配置为使用针对所述结构中的不同结构的所述变量的不同选择或组合的情况下所收集的辐射来导出所述属性的所述测量结果。变量可以是例如波长、或角度分布、或者照射条件的任何特征。参考在不同变量中观察到的信号品质(302,Q,A)进行变量的选择和/或组合。

Description

测量结构的方法、检查设备、光刻系统、器件制造方法
相关申请的交叉引用
本申请要求于2016年2月26日提交的欧洲申请16157503.0的优先权,该欧洲申请的全部内容以引用的方式并入本文中。
技术领域
本发明涉及用于量测的方法和设备,其例如可以用于通过光刻技术的器件制造中,以及用于使用光刻技术制造器件的方法。
背景技术
光刻设备是一种将所需图案施加到衬底上(通常在衬底的目标部分上)的机器。例如,光刻设备可以用于集成电路(IC)的制造中。在这种情况下,可以将可替代地称为掩模或掩模版的图案形成装置用于生成待形成于集成电路的单层上的电路图案。可以将该图案转移到衬底(例如硅晶片)上的目标部分(例如包括管芯的一部分、一个或若干个管芯)上。通常,通过将图案成像到设置在衬底上的辐射敏感材料(抗蚀剂)层上进行图案的转移。通常,单个衬底将包含被连续形成图案的相邻目标部分的网络。
在光刻过程中,经常期望对所产生的结构进行测量,例如用于过程控制和验证。已知用于进行这种测量的各种工具,包括通常用于测量临界尺寸(CD)的扫描电子显微镜和用于测量套刻精度的专用工具,套刻精度是器件中的两个层的对准的精确度。近来,各种形式的散射计已经被开发,应用在光刻领域中。这些装置将辐射束引导到目标上,并且测量散射辐射的一个或更多个属性,例如在单个反射角下作为波长的函数的强度、在一种或更多种波长下作为反射角的函数的强度、或者作为反射角的函数的偏振,以获得“光谱”,可以根据该“光谱”确定目标的所关注的属性。
已知散射计的例子包括US2006033921A1和US2010201963A1中所述类型的角度分辨散射计。由这样的散射计所使用的目标是相对大的光栅,例如40μm×40μm,且测量束产生比光栅更小的斑点(即,光栅未被充满)。除了通过重构来测量特征形状之外,可以使用这种设备测量基于衍射的套刻精度,如公开的专利申请US2006066855A1中所述。使用衍射阶的暗场成像的基于衍射的套刻精度量测使得能够在较小的目标上进行套刻精度和其它参数的量测。这些目标可以小于照射斑点并且可以被衬底上的产品结构包围。通过图像平面中的暗场检测,可以有效地将来自环境产品的强度与来自套刻目标的强度分离。
暗场成像量测的示例可以在专利申请US20100328655A1和US2011069292A1中找到,这些文献的全部内容通过引用结合于此。已经在专利公开出版物US20110027704A,US20110043791A,US2011102753A1,US20120044470A,US20120123581A,US20120242970A1,US20130258310A,US20130271740A和WO2013178422A1中描述了上述技术的进一步发展。通常在这些方法中,期望测量不对称性作为目标的属性。可以设计目标,使得可以使用不对称性的测量来获得各种性能参数的测量结果,诸如套刻精度、聚焦或剂量。通过使用散射计检测所述衍射光谱的相对部分之间的强度差异来测量目标的不对称性。例如,可以比较+1和-1衍射阶的强度,以获得不对称性的量度。
在这些已知技术中,使用适当的照射模式和图像检测模式以从目标内的周期性结构(光栅)获得+1和-1衍射阶。比较这些相反衍射阶的强度提供了结构不对称性的测量。在许多情况下,所获得的不对称性信号取决于过程变量的未知程度,而不仅仅取决于所关注的性能参数。为了提高所关注参数的测量精度,可以定义非常特定的照射条件,例如在照射辐射的光谱和角度分布方面。对于极其三维化结构,可能需要非常窄的光谱峰值和非常特定的照射分布,以获得高品质的不对称性信号。
不幸的是,发现了跨越整个基板上和在基板之间的工艺变化可能意味着对于基板的一部分而言最佳的照射条件在某些其他部分处是次优的,甚至是无用的。没有额外的测量,将会难以预先得知如何改变每个晶片的每个部分的照射条件。
发明内容
本发明旨在提高在跨越整个衬底上和/或在衬底之间存在工艺变化的情况下的测量精度。
本发明的第一个方面提供了一种测量通过光刻工艺形成在一个或更多个衬底上的多个结构的属性的方法,其中每个结构的所述属性的测量结果至少部分地来自在一组给定照射条件下由所述结构散射后所收集的辐射,
其中,对于一组给定照射条件,对于所述结构中的不同结构的所述测量结果的精度受到跨越整个衬底上和/或在衬底之间的工艺变化的影响,以及
其中所述方法使用在所述照射条件的两种或更多种变量下收集的辐射而进行,并且对于所述结构中的不同结构,所述属性的测量结果是使用在所述变量的不同选择或组合下收集的辐射而导出的。
照射条件的变量可以在例如波长、照射的角度分布、焦点、偏振或照射条件的任何其他特性方面变化。
在一些实施例中,对于所述结构的至少一个子集,使用所述照射条件的一组共同变量从每个结构收集辐射,并且在收集所述辐射之后执行用于导出所述测量结果的变量的所述选择或组合。
用于所述结构的所述子集的变量的所述选择或组合可例如基于在所述照射条件的不同变量下从所述结构收集的所述辐射中观察到的信号品质。例如,在不对称性测量的情况下,容易从一组结构确定不对称性信号的幅度。
所述方法还可包括基于由用于多个周期性结构的方法确定的不对称性来计算所述光刻工艺的性能参数。性能参数可以例如为套刻精度、聚焦或剂量。
本发明还提供了一种用于测量通过光刻工艺形成在一个或更多个衬底上的多个结构的属性的检查设备,所述设备包括照射光学系统、收集光学系统和处理系统,所述处理系统被配置为:至少部分地从由所述收集光学系统收集的、在由所述照射光学系统建立的一组或更多组照射条件下被所述结构散射之后的辐射中导出每个结构的所述属性的测量结果,其中,对于一组给定照射条件,对于所述结构中的不同结构的所述测量结果的精度受到所述跨越整个衬底上和/或在衬底之间的工艺变化的影响,其中所述照射系统和收集系统被配置为收集在所述照射条件的两个或更多个变量下被多个结构散射的辐射,以及其中所述处理系统被配置为使用针对所述结构中的不同结构的所述变量的不同选择或组合的情况下收集的辐射来导出所述属性的所述测量结果。
本发明还提供了一种计算机程序产品,包括用于使可编程处理装置实现如上所述的根据本发明的方法的机器可读指令。机器可读指令可以呈现在例如非暂时性存储介质中。
本发明还提供一种光刻系统,包括如上所述的根据本发明的光刻设备和检查设备。
本发明还提供了一种器件的制造方法,其中使用光刻工艺将器件图案施加到一系列衬底,所述方法包括:使用根据权利要求1至17中任一项所述的方法测量在至少一个所述衬底上形成为所述器件图案的一部分或形成在所述器件图案的旁边的一个或更多个结构的一个或更多个属性;以及根据该方法的结果对后续衬底控制所述光刻工艺。
本发明的另外的特征和优点以及本发明的各实施例的结构和操作被参考附图在下文更详细地描述。注意到,本发明不限于此处描述的特定实施例。这样的实施例被在此处显示,仅用于说明性的目的。基于此处包含的教导,相关领域的技术人员将明白另外的实施例。
附图说明
现在将参考所附示意性附图、以示例的方式来描述本发明的实施例,其中:
图1描绘了形成用于半导体器件的生产设施的光刻设备以及其他设备;
图2示意性地示出了(a)适于执行根据本发明的一些实施例的角度分辨散射测量和暗场成像检查方法的检查设备,以及(b)在图2的设备中由目标光栅导致的入射辐射的衍射的放大细节;
图3示出了在测量信号品质和辐射波长之间的关系中可变工艺依赖性的问题;
图4示出了在测量信号品质和辐射的角度分布(照射分布)之间的关系中的可变工艺依赖性的问题;
图5示出了在本发明的一个实施例中当使用照射条件的三种变量获得测量信号时可以获得的四种情况。
图6示出了选择三个辐射光谱的示例,其将用作本发明实施例中的变型;
图7示出了跨越示例衬底上的最佳照射条件的变化;以及
图8是使用图3至7的原理来控制光刻过程的方法的流程图。
具体实施方式
在详细地描述本发明的实施例之前,有益的是提出一个可以实施本发明的实施例的示例性环境。
图1中的100示出了光刻设备LA,其作为实现大容量光刻制造工艺的工业设施的一部分。在本示例中,制造工艺适用于在诸如半导体晶片的衬底上制造半导体产品(集成电路)。技术人员将理解,可以通过在该方法的变型中处理不同类型的衬底来制造多种产品。半导体产品的生产仅作为在今天具有重大的商业意义的一个例子。
在光刻设备(或简称“光刻工具”100)内,测量站MEA在102处示出,曝光站EXP在104处示出。控制单元LACU在106处示出。在该示例中,每个衬底访问测量站和曝光站以被施加图案。在光学光刻设备中,例如,投影系统用于使用经过调节的辐射和投影系统将产品图案从图案形成装置MA转移到衬底上。这是通过在辐射敏感抗蚀剂材料的层中形成图案的图像来完成的。
此处使用的术语“投影系统”应该被广义地理解为包括适合于所使用的曝光辐射或者其他因素(诸如使用浸没液体或使用真空)的任何类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统,或它们的任何组合。图案形成装置MA可以是掩模或掩模版,其将图案赋予由图案形成装置透射或反射的辐射束。众所周知的操作模式包括步进模式和扫描模式。众所周知,投影系统可以用各种方式与用于衬底和图案形成装置的支撑和定位系统协作,以将所需图案施加到衬底上的许多目标部分。可以使用可编程图案形成装置代替具有固定图案的掩模版。例如,辐射可以包括深紫外(DUV)或极紫外(EUV)波段中的电磁辐射。本公开还适用于其他类型的光刻工艺,例如压印光刻和例如通过电子束的直写光刻。
光刻设备控制单元LACU控制各种致动器和传感器的移动和测量,使得设备LA接收衬底W和掩模版MA并实现图案形成操作。LACU还包括信号处理和数据处理能力,以实现与设备操作相关的所需计算。在实践中,控制单元LACU将被实现为许多子单元的系统,每个子单元处理所述设备内的子系统或部件的实时数据采集、处理和控制。
在将图案施加到曝光站EXP处的衬底之前,在测量站MEA处处理衬底,使得可以执行各种预备步骤。预备步骤可包括使用水平传感器来映射衬底的表面高度,和使用对准传感器来测量衬底上的对准标记的位置。对准标记名义上布置成规则的网格图案。然而,由于产生标记的不准确性以及由于在整其个处理过程中发生的衬底变形,所述标记偏离理想网格。因此,除了测量所述衬底的位置和取向之外,如果设备要以非常高的精度在正确的位置处打印产品特征,则实际上所述对准传感器必须详细测量跨越整个衬底区域上的许多标记的位置。该设备可以是所谓的双台型,其具有两个衬底台,每个衬底台具有由控制单元LACU控制的定位系统。在曝光站EXP处曝光一个衬底台上的一个衬底的同时,可在测量站MEA处将另一衬底装载至另一衬底台上以进行各种预备步骤。因此,对准标记的测量非常耗时,提供两个衬底台能够显着提高设备的生产量。如果位置传感器IF在测量站和曝光站处时不能测量衬底台的位置,则可以提供第二位置传感器以能够在两个站处使衬底台的位置能够被追踪。光刻设备LA例如是所谓的双台型,其具有两个衬底台WTa和WTb以及两个站-(即曝光站和测量站),且在所述两个站之间衬底台可被交换。
在生产设施内,设备100形成“光刻单元”或“光刻簇”的一部分,其还包含涂覆设备108,用于将光敏抗蚀剂和其它涂层施加到衬底W上,以用于由设备100进行图案形成。在设备100的输出侧处,设置焙烤设备110和显影设备112,用于将曝光的图案显影成实体抗蚀剂图案。在所有这些设备之间,衬底处理系统负责支撑所述衬底并将它们从一件设备转移到下一件设备。这些设备通常统称为“轨道”,并由轨道控制单元控制,轨道控制单元本身由管理控制系统SCS控制,该管理控制系统SCS也通过光刻设备控制单元LACU控制光刻设备。因此,可以操作不同的设备以最大化生产量和处理效率。管理控制系统SCS接收配置方案信息R,配置方案信息R非常详细地提供了待执行以创建每个已形成图案的衬底的步骤的定义。
一旦在光刻单元中施加并显影图案,就将已形成图案的衬底120转移到其他处理设备,例如122,124,126所示。在典型的制造设施中的各种设备实现各种处理步骤。为了举例,该实施例中的设备122是蚀刻站,并且设备124执行蚀刻后退火步骤。进一步的物理和/或化学处理步骤在进一步的设备126等中被施加。制造真实的器件可需要许多类型的操作,例如材料的淀积、表面材料特性的改变(氧化、掺杂、离子注入等)、化学机械抛光(CMP)等。实际上,设备126可表示在一个或更多个设备中执行的一系列不同处理步骤。
众所周知,半导体器件的制造涉及这种处理的多次重复,以在衬底上逐层地构建具有适当材料和图案的器件结构。因此,到达光刻簇的衬底130可以是新制备的衬底,或者它们可以是先前已完全在该簇中或在另一设备中被处理过的衬底。类似地,取决于所需的处理,离开设备126的衬底132可以被返回以用于在相同的光刻簇中的后续图案形成操作,它们可以在不同的簇中进行图案形成操作,或者它们可以是待被送去用于切割和封装的成品。
产品结构的每一层需要不同的一组处理步骤,并且在每一层处所使用的设备126的类型可以完全不同。此外,即使在由设备126将要施加的处理步骤名义上相同的情况下,在大型设施中,也可能存在若干假设相同的机器并行工作以在不同衬底上执行步骤126。这些机器之间的设置或故障的微小差异可能意味着它们以不同的方式影响不同的衬底。甚至对于每层相对共用的步骤,例如蚀刻(设备122),也可以通过几个名义上相同但并行工作以最大化生产量的蚀刻设备来实现。此外,在实践中,根据待蚀刻材料的细节,不同的层需要不同的蚀刻工艺,例如化学蚀刻、等离子蚀刻,以及特殊要求,例如各向异性蚀刻。
如前所述,可以在其他光刻设备中执行先前和/或后续处理,并且甚至可以在不同类型的光刻设备中执行先前和/或后续处理。例如,器件制造工艺中对诸如分辨率和套刻精度的参数要求非常高的一些层可以在比其他要求较低的层更先进的光刻工具中执行。因此,一些层可以在浸没型光刻工具中曝光,而其他层则暴露在“干”工具中。一些层可以在工作在DUV波长的工具中曝光,而其他层则使用EUV波长辐射曝光。
为了正确且一致地曝光由光刻设备所曝光的衬底,需要检查经曝光的衬底、以测量诸如后续层之间的套刻精度误差、线厚度、临界尺寸(CD)等特性。由此,其中定位光刻元LC的制造设施也包括量测系统MET,量测系统MET接收已在光刻单元中处理的衬底W中的一些或全部。将量测结果直接或间接地提供至管理控制系统(SCS)138。如果检测到误差,则可对后续衬底的曝光进行调整,尤其在量测可足够迅速地且快速地进行使得同一批次的其他衬底仍处于待曝光的情况下。此外,已经曝光的衬底可被剥离及返工-以改善良率-或被废弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可仅对良好的那些目标部分执行进一步曝光。
图1中还示出了量测设备140,其被提供用于在制造过程中的期望阶段测量产品的参数。现代光刻生产设施中的量测设备的常见示例是散射计,例如角度分辨散射计或光谱散射计,并且其可以被应用于在设备122中蚀刻之前在设备120处测量已显影的衬底的属性。使用量测设备140,例如,可以确定诸如套刻精度或临界尺寸(CD)的重要性能参数不满足在已显影的抗蚀剂中的指定精度要求。在蚀刻步骤之前,存在剥离已显影的抗蚀剂并通过光刻簇再处理衬底120的机会。众所周知,来自设备140的量测结果142可用于通过随时间进行小的调整的管理控制系统SCS和/或控制单元LACU106来维持光刻簇中的图案形成操作的准确性能,由此最小化产品超出规格、需要返工的风险。当然,可以应用量测设备140和/或其他量测设备(未示出)来测量经过处理的衬底132,134和进入的衬底130的属性。
检查设备示例
图2(a)示意性地示出了实施所谓的暗场成像量测的检查设备的关键元件。该设备可以是独立的装置,也可以被包括在光刻设备LA中,例如处于测量站,或光刻单元LC中。用虚线O表示具有贯穿所述设备的若干支路的光轴。目标光栅结构T和衍射射线在图2(b)中被更详细示出。
如在引言中引用的在先申请中所描述的,图2(a)的暗视场成像设备可以是多用途角度分辨散射计的一部分,其可以代替光谱散射计或在光谱散射计的基础上额外地使用。在这种类型的检查设备中,由辐射源11发射的辐射由照射系统12调节。例如,照射系统12可以包括准直透镜系统12a、滤色器12b、偏振器12c和孔径装置13。经过调节的辐射沿循照射路径IP,其中它被部分反射表面15反射并经由显微镜物镜16聚焦到衬底W上的斑点S中。量测目标T可以形成在衬底W上。透镜16具有高数值孔径(NA),优选为至少0.9,更优选为至少0.95。如果需要,可以使用浸没流体,以获得大于1的数值孔径。
在这个示例中的物镜16也用于收集已经被目标散射的辐射。示意性地示出了用于该返回的辐射的收集路径CP。多用途散射计可以在收集路径中具有两个或更多个测量分支。所示出的示例具有光瞳成像分支,包括光瞳成像光学系统18和光瞳图像传感器19。还示出了成像分支,其将在下面更详细地描述。另外,更多的光学系统和分支将被包括在实际设备中,例如用于收集用于强度归一化的参考辐射,用于采集目标的粗略成像,用于聚焦等等。这些细节可以在上面提到的现有出版物中找到。
当在衬底W上提供量测目标T时,这可以是1-D光栅,其被印制成使得在显影之后,栅条由实心抗蚀剂线形成。目标可以为2-D光栅,所述2-D光栅被印制成使得在显影之后,该光栅由实心抗蚀剂柱或抗蚀剂中的通孔形成。栅条、柱或通孔可以选地被刻蚀至衬底中。这些光栅中的每一个都是目标结构的示例,可以使用检查设备来研究目标结构的特性。在光栅的情况下,该结构是周期性的。在套刻精度量测目标的情况下,光栅被印刷在已由先前的图案形成步骤所形成的另一光栅的顶部上或与所述另一光栅交错。
照射系统12的各种部件可以是可调节的,以在同一设备内实施不同的量测“配置方案”。除了选择波长(颜色)和偏振作为照射辐射的特征之外,照射系统12可以被调节以实现不同的照射分布。孔径装置13的平面与物镜16的光瞳平面和光瞳图像检测器19的平面共轭。因此,由孔径装置13限定的照射分布限定入射到衬底W上的斑点S中的光的角度分布。为了实现不同的照射分布,可以在照射路径中设置孔径装置13。孔径装置可以包括安装在可移动滑动件或轮上的不同孔径13a,13b,13c等。它可以可选地包括固定的或可编程空间光调制器(SLM)13c。作为另一种选择,光纤可以设置在照射光瞳平面中的不同位置处,并且可以选择性地用于在其各自的位置传递光或者不传递光。这些变型都在上面引用的文件中讨论和举例说明。孔径装置可以是反射形式,而不是透射式的。例如,可以使用反射SLM。实际上,在UV或EUV波段中工作的检查设备中,大多数或所有光学元件可以是反射式的。
根据照射模式,可以提供示例光线30a,使得入射角如图2(b)中的“I”所示。由目标T反射的零阶射线的路径被标记为‘0’(不与光轴'O'混淆)。类似地,在相同的照射模式或在第二照射模式中,可以提供光线30b,在这种情况下,与第一模式相比,入射角和反射角将被交换。在图2(a)中,第一和第二示例照射模式的零阶光线被分别标记为0(a)和0(b)。
如图2(b)中更详细地所示,作为目标结构的一个示例,目标光栅T被放置成衬底W垂直于物镜16的光轴O。在离轴照射分布的情况下,从偏离轴线O的一角度射到光栅T上的照射I的射线30a产生零阶射线(实线0)和两个一阶射线(点划线表示+1阶,并且双点划线表示-1阶)。应注意,对于过填充的小目标T光栅而言,这些射线只是对包括量测目标光栅T和其它特征的衬底区域加以覆盖的许多平行射线之一。由于照射射线30a束具有有限的宽度(对于允许有用数量的光而言是必需的),因而入射射线I实际上将会占据一角度范围,并且衍射射线0和+1/-1将会稍微展开。根据小目标的点扩散函数,每个阶+1和-1都将进一步遍及一个角度范围而展开,而不是如图示的单条理想的射线。
在用于暗场成像的采集路径的分支中,成像光学系统20在传感器23(例如CCD或CMOS传感器)上的衬底W上形成目标的图像T'。在与物镜16的光瞳平面共轭的收集路径CP的成像分支中的平面中提供孔径光阑21。孔径光阑21也可以被称为光瞳光阑。孔径光阑21可以采取不同的形式,正如照射孔径可以采用不同的形式一样。孔径光阑21结合透镜16的有效孔径确定散射辐射的哪一部分被用于在传感器23上产生图像。通常,孔径光阑21起到阻挡零阶衍射光束的作用,使得形成在传感器23上的目标的图像仅由一阶束形成。在两个一阶束组合形成图像的例子中,这将是所谓的暗场图像,相当于暗场显微图像。
由传感器23采集的图像被输出到图像处理器和控制器PU,其功能将取决于正在执行的特定类型的测量。为此目的,执行对目标结构的不对称性的测量。不对称性的测量可以与目标结构的知识相结合,以获得用于形成所述目标结构的光刻过程的性能参数的量度。可以用这种方式测量的性能参数包括例如套刻精度、聚焦和剂量。提供目标的特殊设计,以允许通过相同的基础不对称性测量方法进行这些对于不同性能参数的测量。
再次参照图2(b)和照射光线30a,来自目标光栅的+1阶衍射光线将进入物镜16并且促成在传感器23处记录的图像。光线30b以与光线30a相反的角度入射,并且因此-1阶衍射光线进入物镜并且促成所述图像。当使用离轴照射时,孔径光阑21阻挡零阶辐射。如现有技术出版物中所述,照射模式可以用X和Y方向的离轴照射来定义。
通过比较这些不同照射模式下的目标光栅的图像,可以获得不对称性的测量。可替代地,不对称性的测量可以通过保持相同的照射模式,但旋转目标来获得。虽然示出了离轴照射,但是也可以使用目标的同轴照射,并且可以使用修改的离轴孔径光阑21将基本上仅一阶衍射光传递到传感器。在另一个例子中,一对离轴棱镜22与同轴照射模式结合使用。这些棱镜具有将+1和-1阶转移到传感器23上的不同位置的效果,使得它们可以被检测和比较,而不需要两个连续的图像采集步骤。有效地,在图像传感器23上的分离位置处形成分离的图像。例如,在图2(a)中,使用来自照射光线30a的+1阶衍射制成的图像T'(+1a)在空间上与使用来自照射光线30b的-1阶衍射制成的图像T'(-1b)分开。该技术在上述公开的专利申请US2011102753A1中公开,其内容通过引用合并于此。可以在测量中使用二阶、三阶和更高阶的束(图2中未示出),代替一阶束或者除了一阶束之外。作为进一步的变型,离轴照射模式可以保持恒定,而目标本身在物镜16下方旋转180度以使用相反的衍射阶来采集图像。
虽然示出了传统的基于透镜的成像系统,但是本文公开的技术可以与全光相机等同地应用,并且还可以与所谓的“无透镜”或“数字”成像系统一起应用。因此,衍射辐射的处理系统的哪些部分在光学域中实现并且哪些在电子和软件领域中实现具有很大程度的设计选择。
工艺敏感度-介绍
在一些情况下,检测到的暗场图像或光瞳图像对目标结构中的不对称性的灵敏度非常依赖于光刻工艺的参数,所述光刻工艺的参数从跨越整个衬底上的区域到区域之间、和/或从衬底到衬底之间而发生变化。图3示出了工艺灵敏度的第一个例子,即不对称性信号品质对波长λ的依赖性。垂直轴线a表示从例如光栅结构获得的不对称性信号A的强度。该示例中的目标结构是3-D结构,诸如用于现代高密度存储器件(例如,NAND存储器或DRAM)。
由于结构的大深度,则干涉效应意味着所述不对称性信号的强度作为波长的函数而周期性地变化。实曲线302表示在第一偏振的照射下作为波长的函数的信号强度,而虚线曲线304表示在第二偏振的照射下的信号强度。此处垂直和水平标度上的单位是任意的。然而,应当理解,与在常规散射仪中所使用的波长范围和正常的照射带宽相比,在这些曲线中看到的周期性变化在波长标度上具有非常短的周期。波长标度上从峰到峰的距离可以是例如小于50nm或小于30nm宽。单色辐射波长λ1将会产生非常强的不对称性信号,并且单色辐射波长λ2给出非常强的不对称性信号,但具有相反的符号。在波长范围的另一部分中,波长λ3和λ4将会提供最强的信号,但具有另一种照射偏振。这些偏振可以被指定为用于一个给定目标或多个目标的量测配置方案的一部分。如果检查设备能够仅使用具有非常窄带宽的这些波长中的一个,则信号品质将是良好的。然而,通常情况下,所使用的辐射具有有限的带宽。如果该带宽太宽以至于甚至覆盖一半周期,那么负峰值信号中的正峰值的平均值将会抵消,不会产生不对称性信号。因此,将需要窄带宽,例如宽度小于10nm,或甚至小于8nm。
如将理解的,如果信号相对于波长的循环行为是通过一个或更多个层的堆叠的干涉效应的结果,则周期的确切相位和宽度将直接取决于那些层的厚度,那些层的厚度可以跨越整个衬底上而变化。此外,图3中所示的曲线将从一侧移动到另一侧超过几纳米,性能跨越整个基板上和在基板之间发生变化。该工艺变化由箭头306指示。因此,虽然波长λ1和λ2可以在相同波长下在晶片上的一个位置处提供来自量测目标的最强不对称性信号,但是它们可以在曲线向左或向右移动的另一个位置处提供很少或没有信号。换言之,对于给定波长,不对称性信号的品质极其依赖于工艺变化。
图4示出了另一种过程依赖性,在这种情况下,其影响到用于暗场成像量测的照射轮廓的最佳选择。在该示例中,在(a)处示出的通用形式13c的孔径是期望的。然而,为了最大化所述不对称性信号并最小化对除所关注参数之外的工艺变化的依赖性,空间光调制器(SLM)13d被使用以在每个象限中产生更具选择性的照射图案。在(b)处示出了合适的照射图案。较暗的部分表示照射光瞳中没有光,而灰色和白色部分表示有光的存在。可以记住,照射光瞳中的每个位置对应于目标结构上的照射辐射的特定入射角。因此,照射光瞳中的亮部和暗部的图案限定了在所述目标结构处的照射的角度分布。
SLM 13d可以是可编程SLM。可编程SLM可以包括例如单个类像素的或像素状的(pixel-like)单元的阵列,其可以被至少打开或关闭,并且可选地被设置为透射或反射的中间值。在另一种形式的SLM中,可以提供例如通过淀积在基板上的不透明和/或反射部分而实现的固定图案。这些实施起来更便宜,虽然没有可编程SLM的灵活性,但它们仍然可以针对单个产品类型和层进行定制。为了计算最佳照射分布,执行模拟和/或实验以针对每个像素绘制它对所探测到的暗场图像中的所需不对称性信号的贡献程度。在(c)处,在光瞳的一个象限的放大视图中示出了光瞳平面的每个部分的灵敏度图。在较暗的部分中,像素将对所需的不对称性信号产生负面影响。在较亮的部分,像素将有积极贡献。虽然(c)处的图示是示意性的,但它基于一个真实的例子。在由椭圆突出显示的区域中,将会看到强正敏感性像素的区域紧邻强负敏感性像素的区域。该部分在图4中的(d)处放大。为了获得最强的信号品质,照射分布(b)中的像素在正灵敏度区域应该非常明亮,而在负灵敏度区域应该是暗的(或反之亦然)。与负敏感性像素重叠的照射分布的任何亮区域将直接抵消来自正敏感性像素的所需信号。
以这种方式,设计了(b)处的照射分布。不幸的是,跨越整个衬底上和在衬底之间的工艺变化导致介于敏感区域和不敏感区域之间的边界402移动。因此,如果可以考虑这些工艺变化,则在最佳照射分布(b)中介于亮部分和暗部分之间的边界也将会移动,如箭头404所示。即使是边界402的非常轻微的移动也可以将非常良好的照射分布变成非常差的照射分布。
总之,照射的波长和角度分布是目标结构的照射参数的示例,其可以被调谐到特定的结构和工艺,但是对此,调谐的成功可被跨越整个衬底上和在衬底之间的工艺变化而破坏。还可以考虑照射参数的其他示例,包括例如光斑S的焦点高度。
照射参数的优化
根据本公开的原理,提出了使用在照射条件的两种或更多种变量下所收集的辐射来执行测量多个结构的属性的方法,并且对于所述结构中的不同结构,所述属性的测量是使用在所述变量的不同选择或组合下所收集的辐射而得到的。当对于给定的一组照射条件,对于所述结构中的不同结构的所得测量结果的精度受到跨越整个衬底上和/或在衬底之间的工艺变化的影响时,这允许获得更精确的测量。为了简化实施,在所披露的实施例中,将在每个位置和/或衬底处使用共同的多个变量,而同时可以说,其他实施例可以跨越整个衬底上和/或在衬底之间改变所述变量。
图5示出了第一实施例,其中三个变量用于每个目标结构的测量,至少在待测量的目标结构的总数的子集内。针对照射条件的通用参数P绘制通用信号品质值Q。在基于不对称的量测的情况下,信号品质值Q可以等于图3中的不对称性信号强度A。在另一个应用中,可以定义不同的信号品质值Q。关于参数P,在图3的示例中,参数P可以仅仅是照射波段的中心波长。在包括多个光栅结构的复合目标的情况下,信号品质Q或“堆叠灵敏度”可以通过多个光栅上的测量结果来计算,而不是仅孤立地通过多个光栅中的单个光栅来计算。在图2的设备的暗场成像分支中,可以同时成像多个光栅,从而可以从所采集到的一个或两个图像获得必要的信号。总之,虽然该方法通常是指应用于每个光栅结构的信号品质,但是可以对一组相邻结构一起共同地测量出信号品质,而不是孤立地对每个光栅测量出信号品质。
图5示出了可以使用参数P的三个变量(标记为p1,p1-和p1+)来探测的四种情况。无论参数和在参数与信号品质之间的依赖性如何,在使用变量p1,p1-和p1+进行测量时所获得的信号品质值Q之间的比较只能落入四种不同情况之一。在情况A中,中间变量p1的信号品质值高于其他两个,意味着图中的情况A。假设信号品质曲线302的形式具有图3中所示的形式,则这意味着中心值p1接近信号品质曲线中的峰值。类似地,在情况B中,中心值p1落在信号品质曲线中的谷值上或接近所述谷值。在信号品质值Q是通过上述方法从衍射信号导出的不对称性信号的情况下,则该值是正还是负是已知的。因此,假设情况A中的值是正的,则已知在p1-和p1+之间的范围内找到最佳信号品质,并且在所测量的三个变量中p1具有最佳信号品质。类似地,假设情况B中的值是负的,并且知道负信号在该示例中与正信号一样提供准确的测量,则已知在p1-和p1+之间的范围内找到最佳信号品质。同样,p1具有所测量的三个变量的最佳信号品质。
在情况C和D中,三个变量中的最左边的变量p1-具有最高的信号品质,或者最右边的变型p1+具有最高的信号品质。如果需要,从情况A和B可以通过测量结果拟合假定曲线,并且如果需要可以使用参数P的最佳值。在情况C和D中,只能确定变化的斜率。如将在下面所示,跨越整个衬底上使用足够数量的测量值,可以建立最佳参数值的变化模型,以便解决在情况C和D中的模糊性。
返回图2,图像处理器和控制器PU内的控制器CTRL提供信号以控制照射条件的参数的选择,诸如波长(信号λ)和/或照射分布(信号AP)。以这种方式,可以选择所需的变量用于每个目标结构的测量。对于跨越整个衬底上的所有目标结构,以及对于跨越多个衬底中的所有衬底上的给定配置方案的所有目标结构,变量可以是相同的。或者,如果需要,可以用预编程的方式、或甚至以自适应的方式改变所选择的变量。
一旦已经针对该组变量获得了信号,则图像处理器和控制器PU可以应用多种技术来计算出结构的期望属性的测量结果,诸如不对称性,以及用于根据光刻工艺的性能参数(例如套刻精度、焦点或剂量)的测量结果的不对称性值的计算。在基于以上示例实现测量方法时,在一些实施例中,对于照射条件的至少一个特征,变量的选择或组合包括选择用于导出所述测量结果的单个最佳变量。在其他实施例中,对于所述照射条件的至少一个特征,可以通过计算出变量的加权组合来实现变量的选择或组合,以用于导出所述测量结果。在图5的示例中,情况A,由圆圈502指示出单个最佳变量的选择,而由圆圈504指示出两个最佳变量的选择。可以对两个最佳变量进行平均,根据其信号强度对每个变量加权。或者,可以在单个组合中根据其信号品质对所有三个变量、或者多个指定变量中的每个进行加权。如果最差的样本在组合中加权足够低,则无需排除最差的样本。
参考图6,示出了特定实施方式,其中基于信号品质值Q的周期性变化的周期宽度Λ的知识来选择参数P的值。在该示例中,参数P是波长λ,且信号品质值Q是不对称性信号强度A,与图3中相同。所选择的变量是具有标称波长λ1和另外两个变量λ1-和λ1+的辐射光谱。每个变量中的辐射光谱包括在这些标称波长中居于中心的窄辐射峰。这些峰值602被示意性地示出,覆盖在不对称性信号强度的曲线图上。在该示例中选择这些变量,使得它们跨越整个波长范围内而相等地间隔开,其对应于信号品质的周期性变化的周期宽度或间距Λ的一半。光谱峰值的带宽尽可能远小于周期宽度,并且当然小于周期宽度的一半。以这种方式,在图6(a)所示的最佳情况下,三个变量中的一个将落在曲线上的最佳位置处或附近。在图6(b)所示的最坏情况下,变量中的一个变量将具有至少良好的信号强度,如果不是最佳的。在所示的示例中,选择了光谱峰值的宽度和它们的间距,使得它们不具有实质上的重叠。在实践中,假设至少两个峰实质上不重叠,并且落在周期性变化的不同部分上,则一个或另一个变量将给出良好的信号。在说明书和权利要求书的语言中,对两个峰的间隔或分离的描述旨在表示它们各自的最大值的间隔或分离,与它们的宽度无关,以及与它们是否重叠至给定程度无关。
换言之,基于目标结构和检查设备的光学系统的一些现有实验和/或建模,可以选择两个或更多个变量的扩展,使得它们中的至少一个将产生大于,例如,最大可用信号强度的50%的信号强度。假设曲线302具有大致正弦形式,如图所示,给定两个变量的选择,相隔大约四分之一的周期宽度Λ将会满足该标准。同样,如上所述,选择三个变量允许得到关于跨越整个衬底上的最佳参数值的变化的更多信息。可以应用该知识来减少所需的测量的总数,例如,将如下所述。提取该知识也可用于诊断工艺依赖性特征。
在图3和图6的示例中,作为照射条件的特征的波长由可变参数表示,所述两个或更多个变量由所述参数的变量值限定。术语“波长”用作照射的光谱特征的简写,其当然包括中心峰值波长,以及峰值波长附近的波长的扩展或带宽。取决于图3的曲线302中的峰和谷的宽度,与常规仪器相比,可能需要将照射辐射的带宽选择为非常窄。可调谐激光器11a和单模光纤11b的使用可有助于为每个变量提供合适的辐射特性。尽管常规设备有时用于在跨越从红外到可见波长的范围内的光谱上以宽间隔波长进行测量,但是应该理解,本申请中提到的“变量”通常间隔得更紧密。变化的目的不是为了提供多样化的测量结果,而是为了确保能够获得具有足够的信号品质的单个测量结果,并且存在引入响应差异的工艺变化,即使在相同的标称波长、标称的照射分布等附近。
可理解的,为了避免不必要地降低测量处理量,通常光源和照射系统应该被布置为,使得可以非常快速地在变量之间切换。在典型的实施方式中,获取图像或衍射图案的时间仅是与每个新目标结构相关联的移动-采集-测量周期的一部分。然而,采集时间的任何增加都将影响处理量。下面参考图7描述的技术获得了本公开的益处,而没有增加每个目标结构的采集时间。
参数P的定义可以在除了波长之外的其他变量的情况下进行,例如,焦距。如上所述,波长不是照射条件的可以改变的唯一参数。与辐射谱相关的另一个参数可以是例如带宽。在另一个实施例中,可以调节光学系统的焦距以产生不同的变量。偏振是照射条件的可以改变的另一个特征。例如,偏振角可以是在变量之间变化的参数。
在图4的示例中,可以参数化照射分布的变量,使得外推和/或内插可以推断最佳值可能位于何处。参数P可以被定义为边界402的位移,例如,相对于标称位置。另一方面,由于照射分布具有如此多的自由度,因此可以优选地仅定义多个变量,而不试图分析它们之间的任何参数关系。
图7示出了在跨越衬底W的场702上测量大量目标结构的实施例。在该示例中,每个场702包含两个量测目标,其可以是套刻光栅、聚焦光栅等,与现有技术中讨论的相同。实际上,每个场可能包含远多于两个的目标结构。此外,然而,在衬底上物理地提供了许多目标结构,测量方法可以被设计为仅使用它们的子集。这种空间子采样将被设计为,使得目标的数量及其位置足以获得所需信息,而无需过多的测量时间。空间子采样可以在衬底与衬底之间不同,或者在所有衬底上相同。待测量的目标结构以及测量每个目标结构的方式可以在上面提到的量测配置方案中定义。
应注意,在图7中,两个不同的符号用于量测目标。由具有十字形的圆圈表示的第一子集量测目标704将被应用本方法,使用照射条件的两种或更多种变量测量每一个。待测量的目标中的剩余目标被标记为706,并由带圆点的圆圈表示。这些可以用较少的变量或仅一个变量来测量。可选地,可以通过参考为测量第一子集的相邻目标而获得的信息来选择在这些位置中使用的变量。为此,设计选择的问题在于,是否在介于中间的目标706之前测量第一子集704的所有目标。
关于第一目标704,并且再次参考图5,该方法的一个实施例试图针对每个目标结构识别它是否属于情况A,B,C或D。在图7中绘制了轮廓,示出了例如图像处理器和控制器PU如何通过比较在每个目标704处针对三个变量获得的信号强度来识别所适用的情况,从而能够区分衬底的不同区域。如已经提到的,情况A和B可以从样品值的图案中明确地识别出。情况C和D不能被明确地识别,但是它们可以通过识别的具有情况A和B的区域之间的内插来推断。在一个实例中,区域的数量可以远大于图7中所示的四个区域。可以应用相位跟踪算法以将所有目标正确地分配到情况中的一个中,并因此在衬底上的所有点处跟踪最佳变量。在Miguel Arevallilo Herraez等人的文章—“Fast two-dimensional phase-unwrapping algorithm based on sorting by reliability following anoncontinuous path”,APPLIED OPTICS,第41卷,35号,(2002年12月),第7437-7444页—中讨论了适于另一环境的应用的合适的相位跟踪算法。
应用实例
图8示出了使用上面概述的设备和方法测量光刻工艺的性能的方法。在步骤S20中,处理一个或更多个衬底以产生目标结构,诸如上面所示的复合光栅目标。目标的设计可以是任何已知设计或新设计。目标可以是大目标或小目标设计,这取决于要使用设备的第一测量分支还是第二测量分支。可以设计目标以通过不对称性来测量套刻精度、聚焦或剂量。可以设计目标用于测量其他性能参数和/或非不对称性相关参数。线宽或临界尺寸CD是可以通过散射测量法、而非通过测量不对称性来测量的参数的示例。
在步骤S21中,定义了量测配置方案,包括在衬底上的目标结构的至少一个子集中的每一个处使用多个变量进行测量的配置方案。在一个实施例中,如上参照图6所述选择三个波长,从而应保证至少一个变量产生合理的信号强度。还定义了这种配置方案的所有常用参数,包括极化,角度分布等。在其他实施例中,或除了具有不同波长谱的变量之外,可以定义具有不同角度分布(照射分布)的变量。
在步骤S22中,例如操作图2的检查设备以针对每个变量使用指定的照射条件来采集目标结构的一个或多个衍射光谱。从所采集的一个或更多个目标的衍射光谱计算诸如不对称性的属性。在步骤22a,比较每个变量的信号品质,并且测量结果基于来自不同变量的信号的最佳选择或组合。
通过选择和/或组合来自两个或更多个变量的信号,在不对称性测量中减少了工艺依赖性,导致性能参数的更精确的测量,例如套刻精度、聚焦和/或剂量。
在步骤S23,可以响应于获得的测量结果和辅助数据更新量测配置方案。例如,用于新产品堆叠的量测技术可能正在开发中。在步骤S24中,在操作图1的光刻生产设施的开发和/或生产阶段中,可以更新用于光刻工艺的配置方案,例如以改善未来衬底中的套刻精度。
除了识别和使用变量的最佳选择或组合以获得给定目标结构的最佳测量结果之外,关于变量与最佳照射条件的接近度或其他方面的信息可用于分配可靠性加权至获得的性能参数(诸如套刻精度)的测量结果。因此,例如,在图7中情况A或B适用的区域中的测量结果可以与在情况C或D适用的区域中的测量结果相比具有更高的可靠性。特别是当使用相位跟踪时,该设备可以对每次测量结果识别进行该测量时其与最佳条件有多远。当将套刻精度等的新测量结果结合到它们的工艺模型中时,使用量测结果来提高工艺的性能的高级工艺控制系统长期上可以利用这种“至最佳的距离”作为可靠性得分。
在以上示例中,可以假设,基于过去的实验,为所有目标结构定义适当的变量集。另一方面,由于对照射条件的每个参数使用这些变量而不是单个值,测量过程可以适于获得最佳信号品质和测量精度,尽管跨越整个衬底上和/或在衬底之间存在工艺变化。在某些情况下,仅使用两个变量就足够了。然而,如图5和7所示,在一些实际情况中,使用三个适当间隔的变量可以产生额外的信息和更可靠的结果。
可选地,在步骤22b,可以确定没有变量足够接近最佳照射条件,并且计算出经修改的配置方案,并且要么重复进行测量,或者在测量进一步的目标之前简单地调整所述配置方案。在这方面,图7的相位跟踪方法可用于识别衬底的哪些部分应使用经修订的配置方案。对于图5和图6中所示的周期性变化的示例,只要总是存在具有最佳条件的另一周期,则不需要进行该配置方案的这种修订。然而,在其他情况下,依赖性可能不是周期的,并且随着工艺变化变得更强时,则最佳照射条件可能从当前变量进一步漂移。如果需要,则经修改的配置方案(要么对于整个衬底而言、或具有预编程区域)可以用于后续衬底,以便所述系统基于经验来学习和更新所述配置方案。
可以在检查设备的图像处理器和控制器PU内执行用于获得测量并控制对于波长和其他配置方案参数的选择的计算。在替代实施例中,可以从检查设备硬件和控制器PU远程执行不对称性和其他所关注参数的计算。它们可以例如在管理控制系统SCS内的处理器中执行,或者在布置成从检查设备的控制器PU接收测量数据的任何计算机设备中执行。校准测量的控制和处理可以在与使用所获得的校正值执行大容量计算的处理器分开的处理器中执行。所有这些选项都是实施者的选择问题,并且不会改变所应用的原则或获得的益处。
结论
上面所披露的原理允许跨越整个衬底上或在衬底之间具有强的工艺依赖性变化的结构中获得改进的测量精度。该技术适用于通过暗场成像方法以及其他方法进行的不对称性测量中的应用。当最佳照射条件跨越整个衬底上而变化时,使用照射条件的多个变量提供了增强的准确度。如果可以在每次测量没有不适当延迟的情况下实现多个变量,则该技术可以被应用于大批量制造中。这可以通过使用现代激光源、可编程孔径装置等的照射系统的合适设计和实施方式来实现。
另外,所披露的方法和设备可以用它们的测量结果来传送可靠性得分,使得工艺建模系统可以对在最佳条件下或接近最佳条件下做出的测量结果给出更高的权重。
虽然上面已经描述了本发明的特定实施例,但是应该理解,本发明可以用不同于所描述的方式而实施。
虽然实施例中示出的检查设备或工具包括具有第一和第二分支的特定形式的散射计,用于通过平行图像传感器同时成像光瞳平面和衬底平面,但是替代布置是可能的。可以通过诸如反射镜的可移动光学元件来选择性地耦合分支,而不是利用分束器17提供与物镜16永久耦合的两个分支。可以使光学系统具有单个图像传感器,到传感器的光路通过可移动元件被重新配置以用作光瞳平面图像传感器,然后用作衬底平面图像传感器。
尽管上述目标结构是为测量目的而具体设计和形成的量测目标,但是在其他实施例中,可以在作为形成在衬底上的器件的功能部分的目标上对性质进行测量。许多器件具有规则的类似光栅的结构。在此所使用的术语“目标光栅”和“目标结构”不需要已经针对正在进行的测量具体提供该结构。
与检查设备硬件和在衬底和图案形成装置上实现的目标的合适的周期性结构相关联,实施例可以包括计算机程序,该计算机程序包含实现上文所示类型的测量方法的一个或更多个机器可读指令的序列,以获得有关光刻工艺的信息。此计算机程序可以例如在图2的设备中的图像处理器和控制器PU中和/或图1的控制单元LACU内执行。还可以提供其中存储有这种计算机程序的数据存储介质(例如,半导体存储器,磁盘或光盘)。
在下面编号的项目中描述了根据本发明的其他实施例:
1.一种测量通过光刻工艺形成在一个或更多个衬底上的多个结构的属性的方法,其中每个结构的所述属性的测量结果至少部分地来自在一组给定照射条件下由所述结构散射之后所收集的辐射,
其中,对于一组给定照射条件,对于所述结构中的不同结构的所述测量结果的精度受到跨越整个衬底上和/或在衬底之间的工艺变化的影响,以及
其中所述方法使用在所述照射条件的两种或更多种变量下所收集的辐射而进行,并且对于所述结构中的不同结构,所述属性的测量结果是使用在所述变量的不同选择或组合下所收集的辐射而导出的。
2.根据项目1所述的方法,其中对于所述结构的至少一个子集,使用所述照射条件的一组共同变量从每个结构收集辐射,并且在收集所述辐射之后执行用于导出所述测量结果的变量的所述选择或组合。
3.根据项目2所述的方法,其中用于所述结构的所述子集的变量的所述选择或组合至少部分地基于在所述照射条件的不同变量下从所述结构收集的所述辐射中观察到的信号品质。
4.根据项目2或3所述的方法,其中用于所述结构的所述子集的变量的所述选择或组合至少部分地基于在所述照射条件的不同变量下从一个或更多个相邻结构收集的所述辐射中观察到的信号品质。
5.根据项目3或4所述的方法,其中用于所述子集外部的结构的测量的变量的选择或组合基于从所述子集内的结构收集的辐射中观察到的信号品质。
6.根据前述任一项目所述的方法,其中所述辐射是窄带辐射,并且所述两个或更多个变量包括实质上不重叠的至少两个不同的辐射光谱峰值。
7.根据项目6所述的方法,其中所述两个或更多个光谱峰在小于30nm的波长范围内被间隔开。
8.根据项目6或7所述的方法,其中每个变量中的所述窄带辐射具有小于10nm的带宽。
9.根据项目6至8中任一项所述的方法,其中所述信号品质展现出作为波长的函数的周期性变化,并且其中所述两个或更多个谱峰被所述周期性变化的一个周期的大约一半或更小分离开。
10.根据前述任一项目所述的方法,其中所述辐射具有不均匀的角度分布,并且其中所述角度分布在所述两个或更多个变量之间变化。
11.根据项目10所述的方法,其中所述辐射具有由照射光瞳平面中的亮部分和暗部分限定的非均匀角度分布,并且其中介于亮部分和暗部分之间的一个或更多个边界在所述两个或更多个变量之间移位。
12.根据前述任一项目所述的方法,其中焦点设置在两个或更多个所述变量之间变化。
13.根据前述任一项目所述的方法,其中对于所述照射条件的至少一个特征,变量的所述选择或组合包括选择用于导出所述测量结果的单个最佳变量。
14.根据前述任一项目所述的方法,其中对于所述照射条件的至少一个特征,变量的所述选择或组合包括用于导出所述测量结果的变量的加权组合。
15.根据前述任一项目所述的方法,其中所述照射条件的至少一个特征由可变参数表示,所述两个或更多个变量由所述参数的变量值限定。
16.根据前述任一项目所述的方法,还包括基于所述结构中的一个或更多个的所述特性的所述测量结果来计算所述光刻工艺的性能参数。
17.根据项目16所述的方法,还包括:当测量相同结构和/或相邻结构时,基于针对不同变量的所述信号品质的比较,计算所述性能参数的可靠性得分。
18.一种用于测量通过光刻工艺形成在一个或更多个衬底上的多个结构的属性的检查设备,所述设备包括照射光学系统、收集光学系统和处理系统,所述处理系统被配置成:至少部分地从由所述收集光学系统收集的、在由所述照射光学系统建立的一组或更多组照射条件下被所述结构散射之后的辐射中导出每个结构的所述属性的测量结果,其中,对于一组给定照射条件,对于所述结构中的不同结构的所述测量结果的精度受到跨越整个衬底上和/或在衬底之间的工艺变化的影响,其中所述照射系统和收集系统被配置成收集在所述照射条件的两个或更多个变量下被多个结构散射的辐射,以及其中所述处理系统被配置成使用在针对所述结构中的不同结构的所述变量的不同选择或组合的情况下所收集的辐射来导出所述属性的所述测量结果。
19.根据项目18所述的设备,其中对于所述结构的至少一个子集,使用所述照射条件的一组共同变量从每个结构收集辐射,并且在收集所述辐射之后执行用于导出所述测量结果的变量的所述选择或组合。
20.根据项目19所述的设备,其中用于所述结构的所述子集的变量的所述选择或组合至少部分地基于在所述照射条件的不同变量下从所述结构收集的所述辐射中观察到的信号品质。
21.根据项目19或20所述的设备,其中用于所述结构的所述子集的变量的所述选择或组合至少部分地基于在所述照射条件的不同变量下从一个或更多个相邻结构收集的所述辐射中观察到的信号品质。
22.根据项目20或21所述的设备,其中用于所述子集外部的结构的测量的变量的选择或组合基于从所述子集内的结构收集的辐射中观察到的信号品质。
23.根据项目18-22中的任一项所述的设备,其中所述照射系统被配置成提供所述辐射,所述辐射是窄带辐射,并且所述两个或更多个变量包括实质上不重叠的至少两个不同的辐射光谱峰值。
24.根据项目23所述的设备,其中所述两个或更多个光谱峰在小于30nm的波长范围内被间隔开。
25.根据项目23或24所述的设备,其中每个变量中的所述窄带辐射具有小于10nm的带宽。
26.根据项目23至25中任一项所述的设备,其中所述信号品质展现出作为波长的函数的周期性变化,并且其中所述两个或更多个谱峰被所述周期性变化的一个周期的大约一半或更小分离开。
27.根据项目18至26中任一项所述的设备,其中所述照射系统被配置成提供具有非均匀角度分布的所述辐射,并且其中所述角度分布在所述两个或更多个变量之间变化。
28.根据项目27所述的设备,其中所述辐射具有由照射光瞳平面中的亮部分和暗部分限定的非均匀角度分布,并且其中介于亮部分和暗部分之间的一个或更多个边界在所述两个或更多个变量之间移位。
29.根据项目18-28中的任一项所述的设备,其中焦点设置在两个或更多个所述变量之间变化。
30.根据项目18-29中的任一项所述的设备,其中对于所述照射条件的至少一个特征,变量的所述选择或组合包括选择用于导出所述测量结果的单个最佳变量。
31.根据项目18-30中的任一项所述的设备,其中对于所述照射条件的至少一个特征,变量的所述选择或组合包括用于导出所述测量的变量的加权组合。
32.根据项目18-31中的任一项所述的设备,其中所述照射条件的至少一个特征由可变参数表示,所述两个或更多个变量由所述参数的变量值限定。
33.根据项目18-32中的任一项所述的设备,其中所述处理系统还被配置成基于所述结构中的一个或更多个结构的所述特性的所述测量结果来计算所述光刻工艺的性能参数。
34.根据项目33所述的设备,还包括:当测量相同结构和/或相邻结构时,基于针对不同变量的所述信号品质的比较,计算所述性能参数的可靠性得分。
35.根据项目18至34中任一项所述的设备,其中所述处理系统包括控制器,用于自动控制所述照射光学系统和收集系统,以收集在照射条件的所述不同变量下被散射的所述辐射。
36.一种计算机程序产品,包括机器可读指令,用于使可编程处理装置导出根据项目1至17中任一项所述方法中的多个结构的属性的测量结果。
37.根据项目36所述的计算机程序产品,其中所述机器可读指令还被配置成使得可编程处理装置自动控制所述结构的照射条件,并在所述照射条件的所述变量下控制所述被散射的辐射的收集。
38.一种光刻系统,包括:
光刻设备,包括:
照射光学系统,所述照射光学系统被配置成用以照射图案;
投影光学系统,所述投影光学系统被配置成将所述图案的图像投影到衬底上;和
根据项目18-34中的任一项所述的检查设备,
其中所述光刻设备被配置成在将所述图案施加于另外的衬底的过程中,使用来自所述检查设备的所述测量结果。
39.一种器件的制造方法,其中使用光刻工艺将器件图案施加到一系列衬底,所述方法包括:使用根据项目1至17中任一项所述的方法来测量在至少一个所述衬底上形成为所述器件图案的一部分或形成在所述器件图案的旁边的一个或更多个结构的一个或更多个属性;以及根据所述测量的结果控制所述光刻工艺用于后续衬底。
尽管上文已经对本发明的实施例在光学光刻术中的情形中使用做出了具体参考,但应该理解的是,本发明可以用于其它应用,例如压印光刻术,并且在上下文允许的情况下不限于光学光刻术。在压印光刻术中,图案形成装置中的形貌限定了在衬底上产生的图案。图案形成装置的形貌可以被印制到提供给衬底的抗蚀剂层中,通过施加电磁辐射、热、压力或它们的组合而使抗蚀剂固化。将图案形成装置从抗蚀剂中移出,从而在抗蚀剂固化后留下图案。
这里使用的术语“辐射”和“束”包含全部类型的电磁辐射,所述电磁辐射包括紫外(UV)辐射(例如具有等于或约为365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外(EUV)辐射(例如具有处于1nm至100nm的范围内的波长)以及诸如离子束或电子束等粒子束。散射仪和其他检查设备的实施可以使用合适的源在UV和EUV波长中进行,并且本公开绝不限于使用IR和可见辐射的系统。
在允许的情况下,术语“透镜”可以表示各种类型的光学部件中的任何一种或其组合,包括折射式的、反射式的、磁性的、电磁的和静电的光学部件。反射式部件很可能用于在UV和/或EUV范围内操作的设备中。
本发明的广度和范围不应受任一上述的示例性实施例限制,而应仅由下述的项目书及其等同方案来限定。

Claims (15)

1.一种测量通过光刻工艺形成在一个或更多个衬底上的多个结构的属性的方法,其中每个结构的所述属性的测量结果至少部分地来自在一组给定照射条件下由所述结构散射之后所收集的辐射,
其中,对于一组给定照射条件,所述结构中的不同结构的所述测量结果的精度受到跨越整个衬底上的工艺变化和/或在衬底之间的工艺变化的影响,以及
其中通过使用在所述照射条件的两种或更多种变量下所收集的辐射而执行所述方法,并且对于所述结构中的不同结构,所述属性的测量结果是使用在所述变量的不同选择或组合下所收集的辐射而导出的。
2.根据权利要求1所述的方法,其中对于所述结构的至少一个子集,使用所述照射条件的一组共同变量从每个结构收集辐射,并且在收集所述辐射之后执行用于导出所述测量结果的变量的所述选择或组合。
3.根据前述任一权利要求所述的方法,其中所述辐射是窄带辐射,并且所述两个或更多个变量包括实质上不重叠的至少两个不同的辐射光谱峰值。
4.根据前述任一权利要求所述的方法,其中所述辐射具有不均匀的角度分布,并且其中所述角度分布在所述两个或更多个变量之间变化。
5.根据前述任一权利要求所述的方法,其中焦点设置在两个或更多个所述变量之间变化。
6.根据前述任一权利要求所述的方法,其中对于所述照射条件的至少一个特征,变量的所述选择或组合包括选择用于导出所述测量结果的单个最佳变量。
7.根据前述任一权利要求所述的方法,其中对于所述照射条件的至少一个特征,变量的所述选择或组合包括用于导出所述测量结果的变量的加权组合。
8.根据前述任一权利要求所述的方法,其中所述照射条件的至少一个特征由可变参数表示,所述两个或更多个变量由所述参数的变量值限定。
9.根据前述任一权利要求所述的方法,还包括基于所述结构中的一个或更多个的所述属性的所述测量结果来计算所述光刻工艺的性能参数。
10.一种用于测量通过光刻工艺形成在一个或更多个衬底上的多个结构的属性的检查设备,所述设备包括照射光学系统、收集光学系统和处理系统,所述处理系统被配置成:至少部分地从由所述收集光学系统收集的、在由所述照射光学系统建立的一组或更多组照射条件下被所述结构散射之后的辐射中导出每个结构的所述属性的测量结果,其中,对于一组给定照射条件,对于所述结构中的不同结构的所述测量结果的精度受到跨越整个衬底上的工艺变化和/或在衬底之间的工艺变化的影响,其中所述照射系统和收集系统被配置成收集在所述照射条件的两个或更多个变量下被多个结构散射的辐射,以及其中所述处理系统被配置成使用在针对所述结构中的不同结构的所述变量的不同选择或组合的情况下所收集的辐射来导出所述属性的所述测量结果。
11.根据权利要求10所述的设备,其中对于所述结构的至少一个子集,使用所述照射条件的一组共同变量从每个结构收集辐射,并且在收集所述辐射之后执行用于导出所述测量结果的变量的所述选择或组合。
12.根据权利要求10-11中的任一项所述的设备,其中所述照射系统被配置成提供所述辐射,所述辐射是窄带辐射,并且所述两个或更多个变量包括实质上不重叠的至少两个不同的辐射光谱峰值。
13.一种计算机程序产品,包括机器可读指令,用于使可编程处理装置导出根据权利要求1至9中任一项所述的方法中的多个结构的属性的测量结果。
14.一种光刻系统,包括:
光刻设备,包括:
照射光学系统,所述照射光学系统被配置成用以照射图案;
投影光学系统,所述投影光学系统被配置成将所述图案的图像投影到衬底上;和
根据权利要求10-12中的任一项所述的检查设备,
其中所述光刻设备被配置成在将所述图案施加于另外的衬底的过程中,使用来自所述检查设备的所述测量结果。
15.一种器件的制造方法,其中使用光刻工艺将器件图案施加到一系列衬底,所述方法包括:使用根据权利要求1至9中任一项所述的方法来测量在至少一个所述衬底上形成为所述器件图案的一部分或形成在所述器件图案的旁边的一个或更多个结构的一个或更多个属性;以及根据所述测量的结果控制所述光刻工艺用于后续衬底。
CN201780013518.0A 2016-02-26 2017-02-08 测量结构的方法、检查设备、光刻系统、器件制造方法 Active CN108700829B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP16157503 2016-02-26
EP16157503.0 2016-02-26
PCT/EP2017/052680 WO2017144270A1 (en) 2016-02-26 2017-02-08 Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method

Publications (2)

Publication Number Publication Date
CN108700829A true CN108700829A (zh) 2018-10-23
CN108700829B CN108700829B (zh) 2021-05-18

Family

ID=55442695

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780013518.0A Active CN108700829B (zh) 2016-02-26 2017-02-08 测量结构的方法、检查设备、光刻系统、器件制造方法

Country Status (7)

Country Link
US (2) US10466594B2 (zh)
JP (1) JP6703612B2 (zh)
KR (1) KR102188711B1 (zh)
CN (1) CN108700829B (zh)
IL (1) IL260940B (zh)
TW (2) TWI649636B (zh)
WO (1) WO2017144270A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017144270A1 (en) 2016-02-26 2017-08-31 Asml Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP3336605A1 (en) 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
CN110612481A (zh) 2017-05-08 2019-12-24 Asml荷兰有限公司 测量结构的方法、检查设备、光刻系统和器件制造方法
EP3499311A1 (en) * 2017-12-14 2019-06-19 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated aparatuses
EP3531206A1 (en) * 2018-02-23 2019-08-28 ASML Netherlands B.V. Systems and methods for improving resist model predictions
KR20210013605A (ko) 2018-06-19 2021-02-04 에이에스엠엘 네델란즈 비.브이. 제조 장치 및 연계된 장치를 제어하는 방법
JP7101268B2 (ja) * 2018-07-06 2022-07-14 エーエスエムエル ネザーランズ ビー.ブイ. 位置センサ
EP3657257A1 (en) * 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
WO2021001102A1 (en) * 2019-07-02 2021-01-07 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004076963A2 (en) * 2003-02-22 2004-09-10 Kla-Tencor Technologies Corporation Apparatus and method for detecting overlay errors using scatterometry
CN101720448A (zh) * 2008-07-08 2010-06-02 新思科技有限公司 用于确定工艺变化的影响的方法和装置
WO2013119324A1 (en) * 2012-02-10 2013-08-15 Tokyo Electron Limited Numerical aperture integration in raleigh wavelengths for optical critical dimension (ocd) metrology
WO2015018625A1 (en) * 2013-08-07 2015-02-12 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
TWM498408U (zh) * 2014-06-13 2015-04-01 rui-feng Xu 具有電池之訊號連接線

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW498408B (en) * 2000-07-05 2002-08-11 Asm Lithography Bv Lithographic apparatus, device manufacturing method, and device manufactured thereby
US6650422B2 (en) 2001-03-26 2003-11-18 Advanced Micro Devices, Inc. Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith
JP2003224057A (ja) 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
JP4065817B2 (ja) * 2003-08-12 2008-03-26 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JP2006228843A (ja) * 2005-02-16 2006-08-31 Renesas Technology Corp 半導体デバイスのプロセス制御方法および製造方法
JP4778755B2 (ja) 2005-09-09 2011-09-21 株式会社日立ハイテクノロジーズ 欠陥検査方法及びこれを用いた装置
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US9223227B2 (en) 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
US20120224183A1 (en) 2011-03-02 2012-09-06 Zygo Corporation Interferometric metrology of surfaces, films and underresolved structures
WO2012138758A1 (en) 2011-04-06 2012-10-11 Kla-Tencor Corporation Method and system for providing a quality metric for improved process control
NL2008936A (en) 2011-07-28 2013-01-29 Asml Netherlands Bv Illumination source for use in inspection methods and/or lithography inspection and lithographic apparatus and inspection method.
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
US9535338B2 (en) 2012-05-29 2017-01-03 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
WO2014074893A1 (en) 2012-11-09 2014-05-15 Kla-Tencor Corporation Metrology target characterization
WO2016086056A1 (en) 2014-11-25 2016-06-02 Kla-Tencor Corporation Analyzing and utilizing landscapes
WO2017144270A1 (en) 2016-02-26 2017-08-31 Asml Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004076963A2 (en) * 2003-02-22 2004-09-10 Kla-Tencor Technologies Corporation Apparatus and method for detecting overlay errors using scatterometry
CN101720448A (zh) * 2008-07-08 2010-06-02 新思科技有限公司 用于确定工艺变化的影响的方法和装置
WO2013119324A1 (en) * 2012-02-10 2013-08-15 Tokyo Electron Limited Numerical aperture integration in raleigh wavelengths for optical critical dimension (ocd) metrology
WO2015018625A1 (en) * 2013-08-07 2015-02-12 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
TWM498408U (zh) * 2014-06-13 2015-04-01 rui-feng Xu 具有電池之訊號連接線

Also Published As

Publication number Publication date
WO2017144270A1 (en) 2017-08-31
US20170248852A1 (en) 2017-08-31
US10466594B2 (en) 2019-11-05
IL260940B (en) 2022-05-01
TWI706228B (zh) 2020-10-01
JP2019509629A (ja) 2019-04-04
KR20180115742A (ko) 2018-10-23
KR102188711B1 (ko) 2020-12-09
US11022892B2 (en) 2021-06-01
TW201921165A (zh) 2019-06-01
US20200064744A1 (en) 2020-02-27
JP6703612B2 (ja) 2020-06-03
TW201741777A (zh) 2017-12-01
TWI649636B (zh) 2019-02-01
CN108700829B (zh) 2021-05-18

Similar Documents

Publication Publication Date Title
CN108700829A (zh) 测量结构的方法、检查设备、光刻系统、器件制造方法
CN107111245B (zh) 测量非对称性的方法、检查设备、光刻系统及器件制造方法
CN106462076B (zh) 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
CN106462078B (zh) 衬底和量测用图案形成装置、量测方法及器件制造方法
CN107111250B (zh) 度量方法、计算机产品和系统
CN105900015B (zh) 用于光刻度量的方法、设备和衬底
CN106030414B (zh) 目标布置的优化和相关的目标
CN106062634B (zh) 测量涉及光刻术的制造过程的过程参数
CN108139682A (zh) 量测方法和设备、计算机程序及光刻系统
TWI618988B (zh) 決定臨界尺寸相關特性之方法、檢測裝置及器件製造方法
TWI685720B (zh) 用於微影設備的度量衡方法
CN107924140A (zh) 测量光刻工艺参数的方法和设备、衬底以及该方法中使用的图案化装置
CN108604065A (zh) 量测方法、目标和衬底
CN108292103A (zh) 计量方法、目标和衬底
CN109073992A (zh) 堆叠差异的确定和使用堆叠差异的校正
CN107924132A (zh) 检查设备、检查方法和制造方法
CN105874388A (zh) 用于量测目标的设计的方法和设备
CN108398856A (zh) 量测方法和设备、光刻系统和器件制造方法
CN105814492B (zh) 检查设备和方法、光刻系统和器件制造方法
CN110168446A (zh) 光刻过程和设备以及检测过程和设备
CN108700824A (zh) 测量结构的方法、检查设备、光刻系统、器件制造方法和其中使用的波长选择滤光器
CN106662823B (zh) 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法
JP7110327B2 (ja) メトロロジ方法及び装置
CN110249268A (zh) 量测方法和设备以及关联的计算机产品
CN110062913A (zh) 测量结构的方法、检查设备、光刻系统和器件制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant