CN108666268B - 形成气隙及在晶体管的主动区上面的栅极接触的方法 - Google Patents

形成气隙及在晶体管的主动区上面的栅极接触的方法 Download PDF

Info

Publication number
CN108666268B
CN108666268B CN201810257416.XA CN201810257416A CN108666268B CN 108666268 B CN108666268 B CN 108666268B CN 201810257416 A CN201810257416 A CN 201810257416A CN 108666268 B CN108666268 B CN 108666268B
Authority
CN
China
Prior art keywords
gate
replacement
spacer
forming
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810257416.XA
Other languages
English (en)
Other versions
CN108666268A (zh
Inventor
谢瑞龙
拉尔斯·赖柏曼
尼格尔·凯夫
恩德·拉伯特
尼古拉斯·利考西
古拉密·波奇
朴灿柔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of CN108666268A publication Critical patent/CN108666268A/zh
Application granted granted Critical
Publication of CN108666268B publication Critical patent/CN108666268B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及形成气隙及在晶体管的主动区上面的栅极接触的方法,一种方法包括进行刻蚀程序以界定使栅极结构的上表面及诸侧壁其中至少一部分曝露的栅极凹穴、以及在栅极结构的受曝露侧壁相邻处形成取代间隔物结构,其中,取代间隔物结构使栅极结构的上表面的一部分曝露并且包括至少一个空气空间。在此例中,该方法也包括在栅极凹穴中形成保形刻蚀终止层及取代栅极覆盖结构、选择性移除取代栅极覆盖结构的一部分及保形刻蚀终止层的一部分以便藉此使栅极结构的上表面曝露、以及在传导栅极接触开口中形成传导栅极接触结构(CB),其中,整个传导栅极接触结构(CB)垂直置于主动区上面。

Description

形成气隙及在晶体管的主动区上面的栅极接触的方法
技术领域
本发明大体上涉及集成电路的制作,并且更尤指晶体管的栅极相邻处的气隙以及晶体管的主动区上面的栅极接触部的各种形成方法以及其所产生的装置。
背景技术
在诸如微处理器、储存装置及类似者等现代集成电路中,在有限的芯片面积上提供并且运作非常大量的电路组件,特别是场效晶体管(FET)。FET有各种不同组态,例如平面型装置、FinFET装置、纳米线装置等。这些FET装置一般是在切换模式下运作,亦即,这些装置呈现高度传导状态(接通状态)及高阻抗状态(断开状态)。场效晶体管的状态受控于栅极电极,一经施加适当的控制电压,栅极电极便控制漏极区与源极区之间形成的通道区的传导性。
为了在集成电路装置上提升FET的运作速度并增加FET的密度,数年来,装置设计者已大幅缩减FET的实体大小,尤其是晶体管装置的通道长度。由于晶体管装置的尺寸缩减,电路组件的运作速度已随着每一个新装置世代而提升,而此类产品中的“堆积密度”,即每单位面积的晶体管装置数目,也在同时间增加。一般而言,由于电路组件数量大且现代集成电路需要的布局复杂,个别电路组件的电连接或“接线配置”无法在上有制造电路组件的同一装置层内建立。因此,各种构成集成电路产品整体接线图型的电连接是在一或多个形成于产品的装置级上面称为“敷金属层”的附加堆栈中形成。这些敷金属层一般是由绝缘材料层所构成,材料层中形成有传导金属线或传导贯孔。大体上,传导线提供内层电连接,而传导贯孔提供介于不同金属线层级之间的层间连接或垂直连接。这些传导线及传导贯孔可由具有适当阻障层的各种不同材料所构成,例如:铜等。集成电路产品中的第一敷金属层一般称为“M1”层,而用于在M1层与更低层级传导结构(下文有更完整阐释)之间建立电连接的传导贯孔一般则称为“V0”贯孔。这些敷金属层中的传导线及传导贯孔一般是由铜所构成,而且是使用已知的镶嵌或双镶嵌技术在绝缘材料层中形成。
图1A为由半导体衬底(substrate)12中及上面形成的多个晶体管装置11所构成的说明性集成电路产品10的截面图。图1B为单一晶体管装置11的简化平面图。这些图式绘示用于对装置11的简易绘示源极/漏极区20建立电连接的多个所谓“CA接触”结构14、以及经形成以便对晶体管装置的栅极结构建立电连接、且有时称为“CB接触”结构的栅极接触结构16。如图1B所示,CB栅极接触部16一般垂直置于将装置11围绕的隔离材料13上面,CB栅极接触部16一般非置于衬底12中所界定的主动区上面,但可在一些先进架构中。
请参阅图1A至1B,晶体管11包含说明性栅极结构22(即栅极绝缘(介电)层22A与栅极电极22B)、栅极覆盖体24、侧壁间隔物26及简易绘示的源极/漏极区20。如上所述,程序流程中的此制点亦已在衬底12中形成隔离区13。于图1A所示的制作点,已在衬底12上面形成绝缘材料层30A、30B,即层间介电材料。附图中未绘示诸如接触刻蚀终止层及类似者的其它材料层。亦绘示的是说明性隆起磊晶源极/漏极区32及源极/漏极接触结构34,其一般包括所谓的“沟槽硅化物”(TS)结构36。CA接触结构14的形式可以是在层间介电材料中形成的离散接触组件,即一或多个由上检视具有大体似方形形状(如图1B所示)或圆柱状的个别接触插塞。在其它应用(图1B未示)中,CA接触结构14亦可为接触下层线型特征的线型特征,例如接触源极/漏极区20的TS结构36(TS结构36为一般跨源极/漏极区20上的整个主动区延展的线型特征,延展方向与栅极结构22的方向平行)。TS结构36、CA接触部14与CB接触部16在业界全都视为装置级接触部。
在一项具体实施例中,形成TS结构36、CA接触部14及CB接触部16的程序流程可如下。沉积第一绝缘材料层30A之后,在第一绝缘材料层30A中形成使下层源极/漏极区20的部分曝露的TS开口。之后,穿过TS开口形成传统金属硅化物,然后在金属硅化物区上形成钨(未分别表示),并且向下进行化学机械研磨(CMP)程序至栅极覆盖层24的顶端。然后,沉积第二绝缘材料层30B,并且在第二绝缘材料层30B中形成用于CA接触部14使该下层钨敷金属在源极/漏极区20上面的部分曝露的接触开口。其次,尽管用于CA接触部14的开口受掩蔽,仍在第二绝缘材料层30B中且穿过栅极覆盖层24形成用于CB接触部16的开口,以便使栅极电极22B的一部分曝露。一般而言,CB接触部16的形式可为圆形或方形插塞。之后,传导CA接触部14与传导CB接触部16通过进行一或多个常见金属沉积与CMB程序运作而在第二绝缘材料层30B中两者的对应开口中形成,将第二绝缘材料层30B当作研磨终止层用于移除置于接触开口外侧的过量传导材料。CA接触部14与CB接触部16一般含有均匀金属本体,例如钨,并且亦可包括置于均匀金属本体与绝缘材料层30B之间的一或多个金属阻障层(图未示)。如上所述,源极/漏极接触结构34、CA接触部14与CB接触部16在业界全都视为装置级接触部。
请继续参阅图1A,所示为用于IC产品10的多阶敷金属系统的一部分。更具体来说,图1A绘示多阶敷金属系统的所谓M1敷金属层的一说明性实施例。M1敷金属层形成于绝缘材料层38中,例如低k绝缘材料。M1敷金属层一般包括多条视需要跨IC产品10布线的金属线42。形成多个传导贯孔,即所谓的V0贯孔40,以便在M1敷金属层与装置级接触部(CA接触部14及CB接触部16)之间建立电连接。敷金属线42一般通过跨实质整个衬底在绝缘材料层38中形成长连续沟槽所形成。之后,以一或多种传导材料装填这些沟槽,并且进行一或多个化学机械研磨(CMP)程序以移除沟槽外侧的过量传导材料。
图1B为说明性晶体管装置11的简化平面图,仅展示装置级接触部(CA接触部14与CB接触部16)及其就装置11的相对位置。图1B还绘示栅极覆盖层24、侧壁间隔物26、及形成于源极/漏极区20上面的沟槽硅化物结构36。如上所述,整个CB栅极接触部16垂直置于将产品10围绕的隔离区13上面,亦即,CB栅极接触部16未置于衬底12中所界定的主动区上面。CB栅极接触部16一般置于隔离区13上面,以便避免或降低CB接触部16与TS结构36之间建立电气短路的机会,亦即必须根据各种设计规则在这两个结构之间维持以尝试防止此类电气短路的最小间隔43。不幸的是,存在与CB接触部16仅置于隔离区13上面的要求相关联的面积损失。所需要的是一种用于在装置的主动区上面形成CB栅极接触部16的方法,以便节省集成电路产品上宝贵的作图空间。
请参阅图1A,间隔物26一般是由具有较高k值(例如约7-8)的氮化硅所制成。因晶体管10的实体组态而界定栅极至接触部电容器,其中栅极电极22B作用为电容器的其中一个导板,自对准沟槽硅化物结构36作用为电容器的另一导板,并且间隔物26置于这两片导板之间。此栅极至接触部电容器本质上具有寄生特性,每次晶体管装置11接通与断开都必须使此电容器充电与放电,两者全都导致装置11的切换速度延迟。
装置设计人员已努力降低寄生的栅极至接触部电容器。举例而言,已为了形成比氮化硅具有更低k值的材料之间隔物26而开发一些程序流程,以便降低电容。曾运用的另一技巧为在间隔物26中形成气隙或空间,以便降低间隔物的k值。然而,将此一气隙间隔物的形成并入复杂的程序流程会有问题且昂贵,而且可能导致产品良率降低。
本发明针对在晶体管的栅极相邻处的气隙及在晶体管的主动区上面的栅极接触部的各种形成方法以及所产生的装置,其可避免、或至少降低以上所指认的其中一或多个问题的效应。
发明内容
以下介绍本发明的简化概要,以便对本发明的一些态样有基本的了解。本概要并非本发明的详尽概述。用意不在于指认本发明的重要或关键要素,或叙述本发明的范畴。目的仅在于以简化形式介绍一些概念,作为下文更详细说明的引言。
大体上,本发明针对晶体管的栅极相邻处的至少一个气隙或空间及晶体管的主动区上面的栅极接触部的各种形成方法以及所产生的装置。所揭示的一种说明性方法还包括形成使栅极结构的上表面及侧壁的至少一部分曝露的栅极凹穴、以及在栅极结构的已凹陷侧壁间隔物上面与栅极结构的受曝露侧壁相邻处形成取代间隔物结构,其中取代间隔物结构使栅极结构的上表面的一部分曝露,并且包含置于栅极结构其中至少一个侧壁相邻处的至少一个空气空间。在这项实施例中,本方法亦包括在栅极凹穴中形成取代栅极覆盖结构(取代栅极覆盖结构包含置于保形刻蚀终止层上面的栅极覆盖材料)、形成通过选择性移除栅极覆盖材料的一部分及保形刻蚀终止层的一部分而垂直置于主动区上面以便藉此使栅极结构的上表面的至少一部分曝露的传导栅极接触开口、以及在传导栅极接触开口中形成传导栅极接触结构(CB),其中整个传导栅极接触结构(CB)垂直置于主动区上面。
附图说明
本发明可搭配附图参照以下说明来了解,其中相似的组件符号表示相似的组件,并且其中:
图1A至1B就集成电路产品绘示装置级接触部与敷金属层的各种说明性现有技术配置;以及
图2A至2R绘示本文中所揭示用于形成晶体管的栅极相邻处的至少一个气隙及晶体管的主动区上面的栅极接触部的各种新颖方法以及所产生的装置。
尽管本文所揭示的申请目标易受各种修改和替代形式所影响,其特定具体实施例仍已通过图式中的实施例予以表示并且在本文中予以详述。然而,应了解的是,本文中特定具体实施例的说明用意不在于将本发明限制于所揭示的特定形式,相反地,如随附权利要求书所界定,用意在于涵盖落于本发明的精神及范畴内的所有修改、均等例、及替代方案。
主要组件符号说明:
10 集成电路产品、IC产品、晶体管
11 晶体管装置、装置、晶体管
12 半导体衬底、衬底
13 隔离材料、隔离区
14 CA接触结构、CA接触部
16 栅极接触结构、CB栅极接触结构部、CB接触部、CB栅极接触部
20 源极/漏极区
22 栅极结构
22A 栅极绝缘(介电)层
22B 栅极电极
24 栅极盖体、栅极覆盖层
26 侧壁间隔物、间隔物
30A 绝缘材料层、第一绝缘材料层
30B 绝缘材料层、第二绝缘材料层
32 隆起磊晶源极/漏极区
34 源极/漏极接触结构
36 沟槽硅化物结构、TS结构
38 绝缘材料层
40 V0贯孔
42 金属线、敷金属线
43 最小间隔
100 产品
101 装置、栅极
101A 虚设栅极、栅极
101B 装置、主动栅极、栅极
101C 虚设栅极、栅极
102 半导体衬底、衬底、鳍片
102X 主动区
102S 上表面
103 隔离材料、隔离区
104 最终栅极结构、栅极结构
104S 上表面
104X 侧壁
106 初始栅极覆盖层、栅极覆盖层
108 初始侧壁间隔物、侧壁间隔物、初始间隔物
108R 已凹陷侧壁间隔物、已凹陷间隔物、已凹陷间隔物结构
108X 已凹陷上表面
110 绝缘材料、绝缘材料层
114 磊晶半导体材料、磊晶材料、隆起磊晶源极/漏极区
120 源极/漏极接触凹穴
122 初始传导源极/漏极敷金属结构
122R 已凹陷传导源极/漏极敷金属结构、已凹陷线型传导源极/漏极敷金属结构
122X 已凹陷上表面123凹穴
124 绝缘材料、绝缘材料层
125 栅极凹穴、已凹陷栅极凹穴
126 取代栅极间隔物结构
128 气隙、空间、空气空间
130 保形刻蚀终止层、刻蚀终止层
132 取代栅极覆盖材料
134 绝缘材料、绝缘材料层
136A至136B CA接触开口、开口
138 图型化CB掩模层、CB掩模层
138A 开口
140 第一部分、开口
140A 最终CB栅极接触开口、CB接触开口、开口
141 最终CA接触结构、CA接触结构
142 最终CB接触结构、CB接触结构。
具体实施方式
下面说明本发明的各项说明性具体实施例。为了澄清,本说明书中并未说明实际实作态样的所有特征。当然,将会领会旳是,在开发任何此实际具体实施例时,必须作出许多实作态样特定决策才能达到开发者的特定目的,例如符合系统有关及业务有关的限制条件,这些限制条件会随实作态样不同而变。此外,将会领会的是,此一开发努力可能复杂且耗时,虽然如此,仍会是受益于本发明的所属领域技术人员的例行工作。
本申请目标现将参照附图来说明。各种结构、系统及装置在图式中只是为了阐释而绘示,为的是不要因所属领域技术人员众所周知的细节而混淆本发明。虽然如此,仍将附图包括进来以说明并阐释本发明的说明性实施例。本文中使用的字组及词组应了解并诠释为与所属领域技术人员了解的字组及词组具有一致的意义。与所属领域技术人员了解的通常及惯用意义不同的词汇或词组(即定义)的特殊定义,用意不在于通过本文词汇或词组的一致性用法提供暗示。就一词汇或词组用意在于具有特殊意义的方面来说,即有别于所属领域技术人员了解的意义,此一特殊定义将会按照为此词汇或词组直接且不含糊地提供此特殊定义的定义方式,在本说明书中明确提出。
本发明针对在晶体管的栅极结构的至少一个侧壁、及主动区上面晶体管的传导栅极接触结构(CB)相邻处形成至少一个气隙(即气隙间隔物)的各种方法、以及所产生的装置。本文中所揭示的方法及装置可运用于制造使用例如NMOS、PMOS、CMOS等各种技术的产品,并且其可运用于制造例如内存产品、逻辑产品、ASIC等各种不同装置。如所属领域技术人员在完整阅读本申请案后将会领会的是,本文中揭示的发明可运用于以各种不同组态使用例如平面型装置、FinFET装置、纳米线装置等晶体管装置来形成集成电路产品。此类装置的栅极结构可使用“栅极先制”或“取代栅极”制造技巧来形成。因此,本发明不应该视为受限于任何特定形式的晶体管或晶体管装置的栅极结构形成方式。当然,本文中揭示的发明不应视为受限于本文中所绘示及所述的说明性实施例。现将参照附图更详细说明本文中揭示的方法及装置的各项说明性具体实施例。下文所述的各个材料层可通过各种不同已知技巧任一者来形成,例如:化学气相沉积(CVD)程序、原子层沉积(ALD)程序、热生长程序、旋转涂布技巧等。此外,如本文及所附权利要求书中使用者,字词“相邻”要给予广义的诠释,并且应该诠释成涵盖一特征确实接触另一特征或紧密靠近那另一特征的情况。
图2A至2R绘示本文中所揭示用于形成晶体管在主动区上面的传导栅极接触结构(CB)及集成电路(IC)产品100上所形成晶体管的栅极结构的至少一个侧壁相邻处的气隙(即气隙间隔物)的各种新颖方法。图2A绘示简化平面图,其展示在图式中取看各种截面图。图2A亦绘示最终将于装置101B的主动区上面形成说明性传导栅极接触结构(CB)及多个说明性传导源极/漏极接触结构(CA)。如图2A所示,图示(view)X-X为在最终将形成传导栅极接触结构(CB)的位置穿过装置(顺着与装置的栅极长度方向对应的方向)取看的截面图,而图示Y-Y为在最终将形成说明性传导源极/漏极接触结构(CA)的位置穿过装置(顺着与装置的栅极长度方向对应的方向)取看的截面图。亦应注意的是,数个图式为产品100的平面图,其反映本文中所揭示的程序流程中,在各种制点进行处理的至少一些态样。然而,该等平面图可能未绘示相关截面图中所示的全部处理细节,以免使图式太过复杂。
请参阅图2A至2B,将在半导体衬底102中及上面形成说明性产品100。在这项实施例中,IC产品100包含衬底102上面形成的三个说明性侧向隔开的栅极101A至101C(使用组件符号101共同参考)。产品100可包含NMOS晶体管、PMOS晶体管或两种晶体管类型。该等晶体管可呈任何所欲组态,例如FinFET装置、平面型装置等。另外,附图中未绘示例如源极/漏极区、光晕布植区、井区及类似者等各种掺杂区。衬底102可具有各种组态,如所示的主体硅组态。衬底102也可具有含主体硅层、埋置型绝缘层及主动层的绝缘体上硅晶(SOI)组态,其中半导体装置是在主动层中及上面形成的。衬底102可由硅制成,或其可由非硅的材料制成。因此,“衬底”或“半导体衬底”等词应了解为涵盖所有半导电性材料及所有形式的此类材料。
图2A至2B绘示产品100在已进行数个程序运作的制作点的情况。首先,在衬底102中形成隔离区103,以便界定将形成晶体管装置处的主动区(102X)。其次,在衬底102上面形成多个说明性最终栅极结构104,各栅极101形成一个最终栅极结构。各栅极101包括示意性绘示的最终栅极结构104、初始侧壁间隔物108及初始栅极覆盖层106。初始侧壁间隔物108通过进行保形沉积程序所形成,用以在衬底102上面形成保形间隔物材料(例如氮化硅)层,并在之后进行各向异性刻蚀程序(anisotropic etching process)。最终栅极结构104一般包括诸如二氧化硅或高k(k值大于10)绝缘材料的栅极绝缘层(未分别表示)、以及当作栅极电极的一或多个传导材料层(未分别表示),例如金属、金属合金、氮化钛、氮化钽、钨、铝、多晶硅等。侧壁间隔物108与栅极覆盖层106一般是由氮化硅所构成。最终栅极结构104可使用众所周知的“栅极先制”或“取代栅极”制造技巧来形成。当然,所属领域技术人员将了解的是,在一些应用中,栅极101A至101C其中的一或多者可跨通过隔离材料103来分开的数个隔开的主动区翼展。在图2B所示的图示中,栅极101B为主动晶体管装置在主动区102X上面形成的“主动栅极”,而栅极101A与101C则充当所谓的虚设栅极。在实际IC产品100中,可跨单一主动区形成有许多主动栅极及/或虚设栅极。因此,本文中所揭示的申请目标不应该视为受限于本文中所示的简易实施例。
还绘示的是晶体管装置的源极/漏极区中所形成的任选磊晶半导体材料114的区域。不需要在所有应用中都形成磊晶半导体材料114。最终栅极结构104的实体大小及最终栅极结构104的栅极间距可随特别应用而变。图2B中还绘示绝缘材料层110,例如侧向隔开的诸栅极101之间衬底102上面所沉积的二氧化硅。使用初始栅极覆盖层106当作研磨终止物在绝缘材料层110上进行平坦化程序(例如化学机械平坦化(CMP)程序)。此程序运作使初始栅极覆盖层106的上表面曝露。图式中未绘示可能存在的其它材料层,诸如磊晶材料114上面所形成的保形接触刻蚀终止层,以免使图式太过复杂。
请继续参阅图2A至2B,下一个主要运作涉及形成多个初始传导源极/漏极敷金属结构122,以便与装置的个别源极/漏极区的各者实现电接触。用于形成初始传导源极/漏极敷金属结构122的一种说明性程序流程如下。首先,形成具有开口的图型化掩模层(图未示),该开口使绝缘材料层110在位于源极/漏极区上面(例如磊晶材料114上面)的部分曝露。其次,穿过图型化刻蚀掩模进行一或多个刻蚀程序以相对于围绕的材料选择性移除绝缘材料层110的受曝露部分,并且使下层源极/漏极区曝露。此程序运作在磊晶材料114上面界定多个源极/漏极接触凹穴120。接着,移除图型化刻蚀掩模。
于该制点,在产品100上源极/漏极接触凹穴120中形成多个初始传导源极/漏极敷金属结构122。例如沟槽硅化物含有区的初始传导源极/漏极敷金属结构122接触隆起磊晶源极/漏极区114(源极/漏极区),并且构成最终将与随后要在产品100上形成的传导源极/漏极接触结构(CA)传导性耦接的传导源极/漏极敷金属结构。一般而言,形成实体接触隆起磊晶材料114的金属硅化物区(图未示)前,可先进行预清洁程序。其次,在各源极/漏极接触凹穴120中形成简易绘示的初始传导源极/漏极敷金属结构122,以便对晶体管装置的其相应的源极/漏极区建立接触。如上所述,初始传导源极/漏极敷金属结构122(无论其精确组态及施作方式如何)在装置的源极/漏极区(含隆起磊晶源极/漏极区114)与随后要就产品100形成的传导源极/漏极接触结构(CA)之间提供电路径。初始传导源极/漏极敷金属结构122的组态与结构可随特定应用而变。在一项实施例中,初始传导源极/漏极敷金属结构122为伸入与伸出图2B中图页的线型结构,其就主动区的实质全长延展(顺着与装置的栅极宽度方向对应的方向),如平面图(图2A)中所示。在一些情况下,初始传导源极/漏极敷金属结构122包含在隆起磊晶源极/漏极区114上形成并与其接触的沟槽金属硅化物材料(未分别表示)、及在沟槽金属硅化物材料上形成并与其接触的金属材料,诸如钨(未分别表示)。形成构成初始传导源极/漏极敷金属结构122的材料之后,进行化学机械研磨(CMP)程序以移除位于初始栅极覆盖层106的上表面上面的过量材料。
图2C绘示产品100在进行数个程序运作之后的情形。首先,在初始传导源极/漏极敷金属结构122上进行定时、凹口刻蚀程序,以便界定具有已凹陷上表面122X的多个已凹陷传导源极/漏极敷金属结构122R,在一项说明性具体实施例中,该已凹陷上表面置于比最终栅极结构104的上表面104S的层级高约5-20nm距离的层级。当然,初始传导源极/漏极敷金属结构122的凹陷量可随特定应用而变。一般来说,凹陷较浅的初始传导源极/漏极敷金属结构122将产生电阻较低的已凹陷传导源极/漏极敷金属结构122R,亦即,有益于晶体管效能。此程序运作导致各已凹陷传导源极/漏极敷金属结构122R上面形成凹穴123。之后,在各已凹陷传导源极/漏极敷金属结构122R上面的凹穴123中形成绝缘材料124。在一项说明性实施例中,这可通过在衬底102上面沉积例如二氧化硅的绝缘材料层124来达成,以便过量装填凹穴123,并在之后使用初始栅极覆盖层106当作研磨终止物来进行一或多个CMP程序。此程序移除绝缘材料层124位于凹穴123外侧的过量部分。在一些应用中,绝缘材料层124可由与绝缘材料层110相同的材料所构成,但可并非所有应用中都是这种情况。
图2D绘示产品100在一个说明性程序流程之后的情况,其中于产品100上进行定时、凹口刻蚀程序以移除初始栅极覆盖层106及初始间隔物108的垂直高度的一部分,以便界定具有已凹陷上表面108X的多个已凹陷侧壁间隔物108R。在另一说明性程序流程中,可移除初始间隔物108的整体,以便使下层衬底102(或在FinFET装置的情况下为鳍片102)曝露。无论使用的是什么程序流程,此程序运作导致已凹陷栅极凹穴125的形成,藉此各已凹陷栅极凹穴125使对应最终栅极结构104的至少上表面104S、及对应最终栅极结构104的侧壁104X的垂直高度的至少一部分曝露,并且在一些情况下,使最终栅极结构104的侧壁104X的垂直高度整体曝露。初始间隔物108的垂直高度的凹陷量可随特别应用而变。在一项说明性具体实施例中,进行凹口刻蚀程序一持续时间,使得已凹陷间隔物108R的已凹陷上表面108X比衬底102的上表面102S(或鳍片102的上表面,如果装置为FinFET装置)高大约5-20nm。要注意的是,在一项具体实施例中,已凹陷间隔物结构108R沿着装置101的实质整个栅极宽度方向延展(亦即伸入及伸出图2D中图页的平面),并且在各栅极101的栅极结构104的整个周界周围延展,亦即使栅极结构104的侧壁表面的垂直高度的一部分、栅极结构104的对置端面(图2D中未展示)的垂直高度的一部分、与栅极结构的整个上表面104S曝露。倘若将初始间隔物108整体移除,则使栅极结构104的侧壁表面的实质整个垂直高度、栅极结构104的对置端面(图2D中未展示)的实质整个垂直高度、与栅极结构的整个上表面104S曝露。为了易于揭示,以下图式将绘示使初始间隔物108凹陷且已凹陷间隔物108R在装置维持就位的说明性程序流程,所理解的是,视所欲,可如上所述将初始间隔物108整体移除。另外,视所欲,在形成栅极凹穴125前,可先形成仅使至少主动栅极101B(且或许还有虚设栅极101A与101C)置于主动区102X上面的部分曝露的图型化掩模层(图未示)。在此一情况下,栅极凹穴125只会延展与装置101的整个栅极宽度方向(亦即伸入与伸出图2D中图页的平面)对应的距离,并且此类栅极凹穴125不会在置于隔离材料103上面的栅极101的端部周围形成。
图2E绘示产品100在已凹陷间隔物108R上面装置101的栅极结构104相邻处栅极凹穴125中形成内有形成至少一个气隙或空间128的取代栅极间隔物结构126之后的情况。至少一个气隙128置于栅极结构104的至少一个侧壁104X相邻处。跨衬底102并在栅极凹穴125中沉积用于取代栅极间隔物结构126的材料,使得用于取代栅极间隔物结构126的材料“夹止”(pinches-off)并且未完全装填已凹陷侧壁间隔物108R上面栅极结构104的侧壁相邻处的空间。举一项实施例来说,用于取代栅极间隔物结构126的材料可通过进行传统CVD程序或PVD程序后再进行CVD程序来形成,其中沉积程序至少初始以本质上较不保形的方式进行而助长“夹止”。形成气隙或空间128之后,可调整沉积程序而在本质上更加保形。在一项具体实施例中,这导致单一连续气隙128(即气隙间隔物)在位于已凹陷侧壁间隔物108R上面、与栅极结构104的整个周界周围各栅极结构104的侧壁104X相邻处形成。空气空间128的垂直范围(或高度)及侧向宽度可随进行沉积程序使取代栅极间隔物结构126的材料形成的应用及方式而变。如上所述,若选择的程序流程涉及仅在主动区102X上面而不在栅极101的端部周围形成栅极凹穴125,则栅极结构104的整个周界周围也不会形成气隙128。反而,在此一情况下,着眼于单一装置时,会有两个非连接的气隙128在栅极结构104的对立侧壁相邻处形成,亦即置于隔离材料103上面的栅极101的端部周围不会形成气隙128。
取代栅极间隔物结构126可由氮化硅等各种不同材料所构成。然而,取代栅极间隔物结构126应该由相对于例如栅极结构104、绝缘材料110及绝缘材料124等围绕材料呈现充分刻蚀选择性的材料所制成,用以促进在下面有更完整说明的处理。
图2F绘示产品100在取代栅极间隔物结构126上进行定时、定向、各向异性刻蚀程序之后的情况。此程序运作使各栅极结构104的上表面104S的至少一部分曝露。
后面的程序运作系列将涉与栅极覆盖结构在取代栅极间隔物结构126上面栅极凹穴125中的形成。因此,图2G绘示产品100在通过诸如保形ALD程序的保形沉积程序于产品100上与栅极凹穴125中沉积保形刻蚀终止层130之后的情况。在所示实施例中,刻蚀终止层130经沉积而接触包括取代栅极间隔物结构126的产品100的所有受曝露表面、及各栅极结构104的受曝露上表面104S。刻蚀终止层130可形成至任何所欲厚度,例如2-6nm,并且可由例如HfO2、Al2O3、AlN等任何所欲材料所形成。然而,刻蚀终止层130应该由相对于例如栅极结构104、绝缘材料110、绝缘材料124及取代栅极间隔物结构126等围绕材料呈现充分刻蚀选择性的材料所制成,用以促进在下面有更完整说明的处理。
图2H(截面侧视图)及图2I(平面图)绘示产品100在进行数个程序运作而在刻蚀终止层130上面、及各装置101的栅极凹穴125的其余未装填部分中形成取代栅极覆盖材料132之后的情况。未曾尝试在图2I(平面图)中展示刻蚀终止层130,以免使图式太过复杂。在一个说明性程序流程中,跨衬底102沉积取代栅极覆盖材料132,以便过量装填栅极覆盖凹穴125的其余部分。接着使用绝缘材料110与124当作研磨终止物进行平坦化程序(例如化学机械研磨(CMP)程序),藉此移除置于绝缘材料110与124的上表面上面的刻蚀终止层130与取代栅极覆盖材料132的过量部分。取代栅极覆盖材料132可以是任何所欲材料,例如氮化硅、SiBCN等。然而,取代栅极覆盖材料132应该由相对于例如绝缘材料110、绝缘材料124及刻蚀终止层130的围绕材料呈现充分刻蚀选择性的材料所制成,用以促进在下面有更完整说明的处理。刻蚀终止层130与取代栅极覆盖材料132共同构成取代栅极覆盖结构。
在本文中所示的说明性程序流程中,下一个主要处理运作将涉及在主动区102X上面形成多个说明性传导源极/漏极接触结构(CA)及传导栅极接触结构(CB)。在本文中所示的说明性程序流程中,形成用于传导栅极接触结构(CB)的开口前,先形成用于传导源极/漏极接触结构(CA)的开口。然而,正如所属领域技术人员在完整阅读本申请书后将领会者,此处理顺序可视所欲反过来进行。
因此,图2J(截面侧视图)及图2K(平面图)绘示产品100在进行数个程序运作以形成用于产品100的说明性CA接触开口136A、136B之后的情况。在一个说明性程序流程中,于产品100上面沉积绝缘材料层134(例如:二氧化硅),并且在其上表面上进行CMP程序。之后,在绝缘材料层134上面形成图型化刻蚀掩模(图未示),例如图型化CA接触刻蚀掩模。接着,穿过图型化CA接触刻蚀掩模进行一或多个刻蚀程序以移除绝缘材料层134的受曝露部分、及绝缘材料层124的受曝露部分,以便界定使置于栅极101B的对立面上的已凹陷传导源极/漏极敷金属结构122R的上表面122X的至少一部分曝露的CA接触开口136A、136B。
图2L(位置Y-Y处的截面侧视图)、图2M(位置X-X处的截面侧视图)及图2N(平面图)绘示产品100在产品100上面形成例如OPL、光阻等图型化CB掩模层138之后的情况。要注意的是,如图2L所示,图型化CB掩模层138过量装填先前形成的CA接触开口136A、136B。然而,如图2M至2N所示,CB掩模层138具有位于主动区102X上面栅极101B的一部分上面的开口138A,其中将形成传导栅极接触结构(CB)以接触栅极101B的栅极结构104。之后,穿过图型化CB掩模层138进行至少一个刻蚀程序以在绝缘材料层134中界定CB接触开口的第一部分140。开口140沿着栅极101B的轴向长度使取代栅极覆盖材料132的一部分曝露。要注意的是,绝缘材料124保护置于栅极101B的对立面上的已凹陷线型传导源极/漏极敷金属结构122R免于在此刻蚀程序期间受刻蚀。
图2O绘示产品100在进行选择性刻蚀程序相对于围绕材料(例如相对于刻蚀终止层130及绝缘材料层134、124)将取代栅极覆盖材料132通过开口140所曝露的部分选择性移除之后的情况。要注意的是,刻蚀终止层130在此刻蚀程序期间保护栅极结构104。
图2P绘示产品100在进行另一选择性刻蚀程序将刻蚀终止层130相对于围绕材料(例如相对于取代栅极间隔物结构126、绝缘材料层134、124与栅极结构104)的部分选择性移除之后的情况。如图所示,此程序运作界定使栅极结构104的上表面104S的至少一部分曝露的最终CB栅极接触开口140A,使得可形成传导CB栅极接触部而对装置101B的栅极结构104建立电连接。
图2Q(位置Y-Y处的截面侧视图)及图2R(位置X-X处的截面侧视图)绘示产品100在进行数个程序运作以就产品100形成最终CA接触结构141与最终CB接触结构142之后的情况。首先,移除图型化CB掩模层138。之后,同时在CA接触开口136A、136B中、及在CB接触开口140A中形成一或多个传导材料(或许包括一或多个阻障层),以便以传导材料过量装填开口136A、136B及140A。之后,使用绝缘材料134当作研磨终止物进行一或多个平坦化程序(例如化学机械研磨(CMP)程序),藉此移除置于绝缘材料134的上表面上面的传导材料的过量部分。
本文中所示的CA接触结构141及CB接触结构142本质上用意在于示意及表示,因为其可使用各种不同传导材料中任一者来形成。如上所述,CA与CB接触结构亦可含有通过进行保形沉积程序后再以诸如钨或钴的传导材料过量装填开口136A、136B及140A所形成的一或多个阻障层(未将其分开绘示),例如Ti、TiN。之后,可进行上述一或多个CMP程序以移除传导CA与CB结构的材料的过量部分。于图2Q至2R中所示的处理点,可通过进行传统制造技巧来完成产品100。
以上所揭示的特殊具体实施例仅属说明性,正如本发明可用所属领域技术人员所明显知道的不同但均等方式予以修改并且实践而具有本文的指导效益。举例而言,以上所提出的程序步骤可按照不同顺序来进行。再者,除了如权利要求书中所述除外,未意图限制于本文所示构造或设计的细节。因此,证实可改变或修改以上揭示的特定具体实施例,而且所有此类变例全都视为在本发明的范畴及精神内。要注意的是,本说明书及所附权利要求书中如“第一”、“第二”、“第三”或“第四”之类用以说明各个程序或结构的术语,仅当作此些步骤/结构节略参考,并且不必然暗喻此些步骤/结构的进行/形成序列。当然,取决于精准权利要求语言,可或可不需要此些程序的排列顺序。因此,本文寻求的保护如权利要求书中所提。

Claims (20)

1.一种形成传导栅极接触结构(CB)的方法,用于在半导电性衬底中所界定的主动区上面形成的晶体管,该晶体管包含具有上表面与侧壁的栅极结构以及置于该栅极结构的该侧壁相邻处的初始侧壁间隔物,该方法包含:
移除至少该初始侧壁间隔物的至少一部分,以便藉此界定使该栅极结构的该上表面及该侧壁的至少一部分曝露的栅极凹穴;
在该栅极凹穴中形成取代间隔物结构,其中,该取代间隔物结构使该栅极结构的该上表面的一部分曝露,并且其中,在该栅极结构的至少一个侧壁的至少一部分相邻处的该取代间隔物结构中形成至少一个空气空间;
在该取代间隔物结构上面的该栅极凹穴中以及该栅极结构的该上表面的受曝露的该部分上形成取代栅极覆盖结构,该取代栅极覆盖结构包含置于保形刻蚀终止层上面的栅极覆盖材料;
通过选择性移除该取代栅极覆盖结构的一部分来形成垂直置于该主动区上面的传导栅极接触开口,以便藉此使该栅极结构的该上表面的至少一部分曝露;以及
在该传导栅极接触开口中形成该传导栅极接触结构(CB)以覆盖该取代间隔物结构及该空气空间,其中,该传导栅极接触结构(CB)的整体垂直置于该主动区上面。
2.如权利要求1所述的方法,其特征在于:
移除该至少该初始侧壁间隔物的该至少一部分包含移除少于该初始侧壁间隔物的整体,以便产生已凹陷侧壁间隔物;以及
形成该取代间隔物结构包含在该已凹陷侧壁间隔物上面以及该栅极结构的受曝露的该侧壁相邻处形成该取代间隔物结构,其中,该至少一个空气空间形成于该已凹陷侧壁间隔物上面的该取代间隔物结构中以及该栅极结构的至少一个侧壁的至少一部分相邻处。
3.如权利要求1所述的方法,其特征在于:
移除该至少该初始侧壁间隔物的该至少一部分包含移除该初始侧壁间隔物的整体,以便使该初始侧壁间隔物下层该衬底的一部分曝露;以及
形成该取代间隔物结构包含在该衬底的受曝露的该部分上面以及该栅极结构的受曝露的该侧壁相邻处形成该取代间隔物结构,其中,该至少一个空气空间形成于该衬底的受曝露的该部分上面的该取代间隔物结构中以及该栅极结构的至少一个侧壁的至少一部分相邻处。
4.如权利要求2所述的方法,其特征在于,形成该取代间隔物结构包含在该栅极凹穴中沉积用于该取代间隔物结构的材料,使得用于该取代间隔物结构的该材料未将该已凹陷侧壁间隔物上面的空间全部装填,以便藉此界定该空气空间。
5.如权利要求1所述的方法,其特征在于,形成该取代间隔物结构包含:
在该栅极凹穴中沉积用于该取代间隔物结构的材料,以便藉此界定该空气空间,其中,用于该取代间隔物结构的该材料包覆该栅极结构的该上表面的整体;以及
在用于该取代间隔物结构的该材料上进行各向异性刻蚀程序,以便将用于该取代间隔物结构的受沉积的该材料的一部分从该栅极结构的该上表面的至少一部分上面移除。
6.如权利要求1所述的方法,其特征在于,在该栅极凹穴中形成该取代栅极覆盖结构包含进行保形沉积程序以形成该保形刻蚀终止层。
7.如权利要求6所述的方法,其特征在于,在该栅极凹穴中形成该取代栅极覆盖结构包含:
在该栅极凹穴中以及该保形刻蚀终止层上沉积该栅极覆盖材料,其中,受沉积的该栅极覆盖材料过量装填该栅极凹穴;以及
进行至少一个平坦化程序以移除受沉积的该栅极覆盖材料置于该栅极凹穴外侧的部分。
8.如权利要求7所述的方法,其特征在于,进行该至少一个平坦化程序进一步移除该保形刻蚀终止层置于该栅极凹穴外侧的部分。
9.如权利要求1所述的方法,其特征在于,形成该传导栅极接触开口包含:
形成内有形成开口的绝缘材料层,该开口使该取代栅极覆盖结构置于该主动区上面的一部分曝露,其中,选择性移除该取代栅极覆盖结构的该部分包含穿过该绝缘材料层中的该开口进行第一选择性刻蚀程序以选择性相对于该保形刻蚀终止层移除该栅极覆盖材料的一部分以及穿过该绝缘材料层中的该开口进行第二选择性刻蚀程序以移除该保形刻蚀终止层的一部分,以便藉此使该栅极结构的该上表面的该至少一部分曝露。
10.如权利要求1所述的方法,其特征在于,该保形刻蚀终止层包含HfO2、Al2O3、AlN的其中一者,该取代栅极覆盖结构包含氮化硅或SiBCN,该取代间隔物结构包含氮化硅且该传导栅极接触结构(CB)包含至少一个阻障衬垫层。
11.如权利要求1所述的方法,其特征在于,该取代间隔物结构在该栅极结构的整个周界周围延展,并且部分置于围绕该主动区的隔离材料上面。
12.如权利要求1所述的方法,其特征在于,该取代间隔物结构中的该至少一个空气空间为在该栅极结构的整个周界周围延展的连续空气空间,并且部分置于围绕该主动区的隔离材料上面。
13.一种形成传导栅极接触结构(CB)的方法,用于在半导电性衬底中所界定的主动区上面形成的晶体管,该晶体管包含具有上表面与侧壁的栅极结构、初始栅极覆盖结构以及置于该栅极结构的该侧壁相邻处的初始侧壁间隔物,该方法包含:
进行至少一个刻蚀程序以移除该初始栅极覆盖结构以及移除该初始侧壁间隔物的垂直部分,以便产生已凹陷侧壁间隔物,并且藉此界定使该栅极结构的该侧壁其中至少一者的上表面及至少一垂直部分曝露的栅极凹穴;
在该已凹陷侧壁间隔物上面以及该栅极结构的受曝露的该侧壁相邻处形成取代间隔物结构,其中,该取代间隔物结构使该栅极结构的该上表面的一部分曝露,并且其中,至少一个空气空间形成于该已凹陷侧壁间隔物上面的该取代间隔物结构中以及该栅极结构的该侧壁其中至少一者的至少一部分相邻处;
在该取代间隔物结构上面的该栅极凹穴中以及该栅极结构的该上表面的受曝露的该部分上形成取代栅极覆盖结构,该取代栅极覆盖结构包含置于保形刻蚀终止层上面的栅极覆盖材料;
在该取代栅极覆盖结构上面形成绝缘材料层,该绝缘材料层具有界定于其中使该取代栅极覆盖结构置于该主动区上面的至少一部分曝露的栅极接触开口,该栅极接触开口整个位于该主动区上面;
穿过该栅极接触开口进行第一选择性刻蚀程序以选择性相对于该保形刻蚀终止层选择性移除该栅极覆盖材料的一部分,以便藉此使该保形刻蚀终止层的至少一部分曝露;
穿过该栅极接触开口进行第二选择性刻蚀程序以相对于至少该栅极结构选择性移除该保形刻蚀终止层的受曝露的该部分,以便藉此使该栅极结构的该上表面的至少一部分曝露,并且藉此界定传导栅极接触开口;以及
在该传导栅极接触开口中形成传导栅极接触结构(CB)以覆盖该取代间隔物结构及该空气空间,其中,该传导栅极接触结构(CB)的整体垂直置于该主动区上面。
14.如权利要求13所述的方法,其特征在于,该绝缘材料层中的该栅极接触开口也使该保形刻蚀终止层的一部分曝露。
15.如权利要求13所述的方法,其特征在于,形成该取代间隔物结构包含:
在该栅极凹穴中以及该已凹陷侧壁间隔物上沉积用于该取代间隔物结构的材料,以便藉此界定该至少一个空气空间,其中,用于该取代间隔物结构的该材料包覆该栅极结构的该上表面的整体;以及
在用于该取代间隔物结构的该材料上进行各向异性刻蚀程序,以便将用于该取代间隔物结构的受沉积的该材料的一部分从该栅极结构的该上表面的至少一部分上面移除。
16.如权利要求13所述的方法,其特征在于,在该栅极凹穴中形成该取代栅极覆盖结构包含进行保形沉积程序以形成该保形刻蚀终止层。
17.如权利要求16所述的方法,其特征在于,在该栅极凹穴中形成该取代栅极覆盖结构包含:
沉积该栅极覆盖材料使其过量装填该栅极凹穴;以及
进行至少一个平坦化程序以移除受沉积的该栅极覆盖材料置于该栅极凹穴外侧的部分,并且其中,进行该至少一个平坦化程序进一步移除该保形刻蚀终止层置于该栅极凹穴外侧的部分。
18.一种晶体管装置,包含:
栅极结构,置于半导电性衬底中所界定的主动区上面,该栅极结构包含上表面与侧壁;
间隔物结构,置于该栅极结构的该侧壁相邻处,该间隔物结构具有界定于其中且位于该栅极结构的该侧壁其中至少一者的至少一部分相邻处的至少一个空气空间,其中,该间隔物结构使该栅极结构的该上表面的一部分曝露;
保形刻蚀终止层,置于该间隔物结构上并与该间隔物结构接触且置于该栅极结构的该上表面的受曝露的该部分上并与该栅极结构的该上表面的受曝露的该部分接触;
栅极覆盖结构,置于该保形刻蚀终止层上并与该保形刻蚀终止层接触;
传导栅极接触开口,延伸穿透至少该栅极覆盖结构与该保形刻蚀终止层,该传导栅极接触开口使该栅极结构的该上表面的至少一部分曝露,其中,该传导栅极接触开口整个位于该主动区上面;以及
传导栅极接触结构(CB),置于该传导栅极接触开口中以覆盖该间隔物结构及该空气空间,其中,该传导栅极接触结构(CB)的整体垂直置于该主动区上面。
19.如权利要求18所述的晶体管装置,其特征在于,该间隔物结构在该栅极结构的整个周界周围延展,并且部分置于围绕该主动区的隔离材料上面。
20.如权利要求18所述的晶体管装置,其特征在于,该间隔物结构中的该至少一个空气空间为在该栅极结构的整个周界周围延展并且部分置于围绕该主动区的隔离材料上面的连续空气空间。
CN201810257416.XA 2017-03-27 2018-03-27 形成气隙及在晶体管的主动区上面的栅极接触的方法 Active CN108666268B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/469,701 2017-03-27
US15/469,701 US10211100B2 (en) 2017-03-27 2017-03-27 Methods of forming an air gap adjacent a gate of a transistor and a gate contact above the active region of the transistor

Publications (2)

Publication Number Publication Date
CN108666268A CN108666268A (zh) 2018-10-16
CN108666268B true CN108666268B (zh) 2023-03-31

Family

ID=63583608

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810257416.XA Active CN108666268B (zh) 2017-03-27 2018-03-27 形成气隙及在晶体管的主动区上面的栅极接触的方法

Country Status (3)

Country Link
US (1) US10211100B2 (zh)
CN (1) CN108666268B (zh)
TW (1) TWI670762B (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10170318B2 (en) * 2017-04-28 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US10263004B2 (en) 2017-08-01 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US10396155B2 (en) * 2017-09-20 2019-08-27 Globalfoundries Inc. Semiconductor device with recessed source/drain contacts and a gate contact positioned above the active region
US10559470B2 (en) * 2018-01-22 2020-02-11 Globalfoundries Inc. Capping structure
US10879174B2 (en) * 2018-03-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10388770B1 (en) * 2018-03-19 2019-08-20 Globalfoundries Inc. Gate and source/drain contact structures positioned above an active region of a transistor device
US10861953B2 (en) 2018-04-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US10714342B2 (en) * 2018-07-31 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10937786B2 (en) * 2018-09-18 2021-03-02 Globalfoundries U.S. Inc. Gate cut structures
US11508827B2 (en) * 2018-09-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer for a gate structure of a transistor
US10892338B2 (en) 2018-10-24 2021-01-12 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10886378B2 (en) * 2019-01-02 2021-01-05 Globalfoundries Inc. Method of forming air-gap spacers and gate contact over active region and the resulting device
CN113314457B (zh) * 2020-02-27 2023-04-18 长鑫存储技术有限公司 半导体结构的形成方法及半导体结构
US11476347B2 (en) 2020-05-20 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Processes for removing spikes from gates
DE102020124588A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Prozesse zum entfernen von spitzen von gates
US11588030B2 (en) 2020-09-29 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101872742A (zh) * 2009-04-22 2010-10-27 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US9064801B1 (en) * 2014-01-23 2015-06-23 International Business Machines Corporation Bi-layer gate cap for self-aligned contact formation
CN106252410A (zh) * 2015-06-15 2016-12-21 台湾积体电路制造股份有限公司 包括具有间隙或空隙的栅极间隔件的器件及其形成方法
CN108074972A (zh) * 2016-11-15 2018-05-25 格芯公司 在主动区上方具有气隙间隔件与栅极接触的基于晶体管的半导体装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129235B2 (en) * 2007-03-15 2012-03-06 United Microelectronics Corp. Method of fabricating two-step self-aligned contact
US8390079B2 (en) * 2010-10-28 2013-03-05 International Business Machines Corporation Sealed air gap for semiconductor chip
US8084311B1 (en) * 2010-11-17 2011-12-27 International Business Machines Corporation Method of forming replacement metal gate with borderless contact and structure thereof
US8471343B2 (en) * 2011-08-24 2013-06-25 International Bussiness Machines Corporation Parasitic capacitance reduction in MOSFET by airgap ild
US8846513B2 (en) * 2011-09-23 2014-09-30 Globalfoundries Inc. Semiconductor device comprising replacement gate electrode structures and self-aligned contact elements formed by a late contact fill
US8637930B2 (en) * 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US9064948B2 (en) * 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US9105578B2 (en) * 2013-03-12 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
US9455254B2 (en) * 2014-11-07 2016-09-27 Globalfoundries Inc. Methods of forming a combined gate and source/drain contact structure and the resulting device
US9368572B1 (en) * 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101872742A (zh) * 2009-04-22 2010-10-27 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US9064801B1 (en) * 2014-01-23 2015-06-23 International Business Machines Corporation Bi-layer gate cap for self-aligned contact formation
CN106252410A (zh) * 2015-06-15 2016-12-21 台湾积体电路制造股份有限公司 包括具有间隙或空隙的栅极间隔件的器件及其形成方法
CN108074972A (zh) * 2016-11-15 2018-05-25 格芯公司 在主动区上方具有气隙间隔件与栅极接触的基于晶体管的半导体装置

Also Published As

Publication number Publication date
CN108666268A (zh) 2018-10-16
US20180277430A1 (en) 2018-09-27
TW201843718A (zh) 2018-12-16
US10211100B2 (en) 2019-02-19
TWI670762B (zh) 2019-09-01

Similar Documents

Publication Publication Date Title
CN108666268B (zh) 形成气隙及在晶体管的主动区上面的栅极接触的方法
US10038065B2 (en) Method of forming a semiconductor device with a gate contact positioned above the active region
US10177241B2 (en) Methods of forming a gate contact for a transistor above the active region and an air gap adjacent the gate of the transistor
US9853110B2 (en) Method of forming a gate contact structure for a semiconductor device
US9455254B2 (en) Methods of forming a combined gate and source/drain contact structure and the resulting device
US9780178B2 (en) Methods of forming a gate contact above an active region of a semiconductor device
US9899321B1 (en) Methods of forming a gate contact for a semiconductor device above the active region
US9478662B2 (en) Gate and source/drain contact structures for a semiconductor device
US10276674B2 (en) Method of forming a gate contact structure and source/drain contact structure for a semiconductor device
US10204994B2 (en) Methods of forming a semiconductor device with a gate contact positioned above the active region
US11791263B2 (en) Metallization lines on integrated circuit products
US10297452B2 (en) Methods of forming a gate contact structure for a transistor
US9461171B2 (en) Methods of increasing silicide to epi contact areas and the resulting devices
US10727308B2 (en) Gate contact structure for a transistor
US11621333B2 (en) Gate contact structure for a transistor device
US11011604B2 (en) Semiconductor device with recessed source/drain contacts and a gate contact positioned above the active region
CN109300780B (zh) 形成栅极接触点的导电间隔物的方法以及所得装置
US9947589B1 (en) Methods of forming a gate contact for a transistor above an active region and the resulting device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20210308

Address after: California, USA

Applicant after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Applicant before: GLOBALFOUNDRIES INC.

GR01 Patent grant
GR01 Patent grant