CN108649033B - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
CN108649033B
CN108649033B CN201810231866.1A CN201810231866A CN108649033B CN 108649033 B CN108649033 B CN 108649033B CN 201810231866 A CN201810231866 A CN 201810231866A CN 108649033 B CN108649033 B CN 108649033B
Authority
CN
China
Prior art keywords
layer
substrate
region
along
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810231866.1A
Other languages
Chinese (zh)
Other versions
CN108649033A (en
Inventor
隋翔宇
陆智勇
赵新梅
王恩博
霍宗亮
王孝进
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Priority to CN201810231866.1A priority Critical patent/CN108649033B/en
Publication of CN108649033A publication Critical patent/CN108649033A/en
Application granted granted Critical
Publication of CN108649033B publication Critical patent/CN108649033B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels

Abstract

The invention discloses a semiconductor device, comprising a substrate; the conductor/insulator lamination is arranged on the substrate, is formed by alternately stacking conducting layers and insulating layers along a first direction and comprises a core area and a step area which are arranged side by side along a second direction, the thickness of the core area along the first direction is constant, and the thickness of the step area along the first direction is gradually reduced along with the increase of the distance from the core area along the second direction; the first direction is a direction vertical to the surface of the substrate, and the second direction is a direction parallel to the surface of the substrate; a plurality of memory channel regions vertically penetrating the core region of the conductor/insulator stack along a first direction; a plurality of dummy channel regions vertically penetrating the step region of the conductor/insulator stack in the first direction, contacting the substrate; the plurality of dummy channel regions are formed of an insulating material. The invention utilizes the mask to respectively etch and fill the core area and the step area, thereby avoiding the growth defect of the epitaxial layer at the bottom of the step area and improving the reliability of the device.

Description

Semiconductor device and method for manufacturing the same
Technical Field
The present invention relates to a semiconductor device and a method for fabricating the same, and more particularly, to a three-dimensional nand memory cell transistor and a method for fabricating the same.
Background
In order to improve the density of the memory device, extensive efforts have been made to develop a method of reducing the size of the memory cells arranged in two dimensions. As the memory cell size of two-dimensional (2D) memory devices continues to shrink, signal collision and interference may increase significantly, making it difficult to perform a multi-level cell (MLC) operation. To overcome the limitations of the 2D memory device, a memory device having a three-dimensional (3D) structure has been developed in the industry to increase integration density by arranging memory cells three-dimensionally over a substrate.
Cross-sectional views in the fabrication process of a typical 3D NAND device structure are shown in fig. 1a to 1D, where a spacer layer 1s (e.g., silicon oxide) is preferably formed on a substrate, typically Si (corresponding to the core region 1C and the dummy (dummy) region 1D, respectively), a stacked structure of a plurality of dielectric layer stacks, e.g., a structure in which a first layer 2A of nitride and a second layer 2B of oxide are alternately deposited on the spacer layer 1s, and preferably, the bottommost one oxide layer 2B is thicker to improve the insulating isolation effect between the bottom drive transistor and the upper NAND transistor string. In the core region 1C of the memory array, the number of sub-units is larger, so the number of layers 2A/2B is larger, and the number of sub-units in the step region 1D is smaller, and the super-peripheral region is gradually reduced to 0, so the number of layers 2A/2B is gradually reduced, so that the step distribution shown in fig. 1a exists in the layer structure 2A/2B in the step region 1D. A protective/passivation layer 3 of an oxide or low-k material, such as silicon oxide prepared from TEOS (abbreviated as TEOS), is deposited over the entire device. Preferably, the protective layer 3 comprises a plurality of sub-layers (not shown), such as a denser TEOS prepared by a bottom HDPCVD process covering the entire dielectric layer stack 2A/2B, a looser TEOS prepared by a middle LPCVD process, and a denser PECVD prepared silicon oxide, silicon nitride or silicon oxynitride on the top.
As shown in the top mask view of fig. 1B and the cross-sectional device view of fig. 1C, different mask patterns, e.g., 3C and 3D, respectively, are used for the core region and the mesa regions, and the multi-layer stack 2A/2B on the substrate is etched by an anisotropic etching process to form a plurality of trench vias, which are distributed along the extension direction of the Word Lines (WL) of the memory cells and are perpendicular to the substrate surface, including a core region trench hole 3HC and a mesa region trench hole 3HD (which may be up to the substrate surface or have some over-etching).
As shown in fig. 1d, in order to improve the film quality of the subsequently deposited vertical channel and to improve the driving capability of the bottom driving transistor, it is usually necessary to epitaxially grow a mesa (or silicon island) of single crystal material at the bottom of the channel hole, including the mesa 1EC in the core region and the mesa 1ED in the step region. In this process, to increase the drive capability of the bottom select transistor of the core region while further increasing the charge storage capability of the transistors in the NAND transistor string, the top of the core region mesa 1EC preferably exceeds the top of the bottom first dielectric layer 2A (e.g., nitride) and continues beyond the bottom second dielectric layer 2B (e.g., oxide) by at least 1/3 in height, preferably flush with the middle of the bottom second dielectric layer 2B. In the peripheral step region 1D, in order to ensure that a gate insulating layer with good film formation quality is formed around the step region boss 1ED after the first dielectric layer 2A is removed to be insulated from the gate conductive layer and prevent leakage, the top of the boss 1ED should be at least flush with the top of the bottom first dielectric layer 2A (or the bottom of the bottom second dielectric layer 2B) and preferably over, or even as same as, the core region 1 EC.
The formation of vertical channel layers and preferably channel fill layers in the channel holes then continues on a mesa basis, followed by the formation of deep holes in the regions between adjacent channel holes that expose the substrate and the sidewalls of the stack 2A/2B, the removal of the first or second layer in the stack structure by deep hole lateral etching leaving a lateral recess in the other, the formation of a gate insulating layer (which may contain multiple sub-layers, such as ONO, to enhance charge storage capability) by oxidation or deposition in the lateral recess, and then the deposition of metal or doped polysilicon to form the gate conductive layer. Thus, the bottom semiconductor mesa 1EC with its lateral gate stack forms a bottom select transistor, while the vertical channel layer with its lateral gate stack forms a NAND transistor string, leaving the dielectric layer 2B as an insulating spacer between adjacent transistors.
However, as shown in fig. 1D, in the actual process of removing the first dielectric layer 2A by etching, as the distance between the dummy memory channel region 1D and the core region 1C increases, the number of sub-units in the transistor string decreases, and the number of stacked layers 2A/2B decreases, so the number of the first dielectric layer 2A also decreases correspondingly, that is, the distance between the dielectric layer stack and the top of the device increases and the height thereof decreases. While the etching speed of the etchant for the protective layer 3 is much higher than that of the dielectric layer stack 2A/2B. Within the same etching time, the etchant will penetrate the protective layer 3 and the dielectric layer stack 2A/2B to the substrate faster in the step region 1D than in the core region 1C, so that the substrate is over-etched in advance, and finally the depth of the channel hole 3HD of the step region 1D is greater than the depth of the channel hole 3HC of the core region 1C.
During the later epitaxial growth of the semiconductor mesa 1EC/1ED, the mesa 1EC height in the core region (and the portion of the step region near the core region) still satisfies the requirement at least higher than the top of the bottom first dielectric layer 2A under the same deposition process conditions. However, in the partial step region (left side in fig. 1d) far from the core region, due to the increase of the over-etching amount of the substrate, the semiconductor layer grown by deposition is not enough to meet the above requirement, so that in the process of forming the gate stack by removing the layer 2A subsequently, the gate dielectric layer is not enough to completely fill the gap between the boss 1ED and the second dielectric layer 2B, and the gate conductive layer may directly contact and electrically connect with the boss 1ED, thereby causing the device failure.
In addition, as the etchant penetrates through the dielectric stack 2A/2B to reach the substrate earlier in the step region, more etchant can laterally erode the dielectric stack before the etching of the core region is completed, which causes a large amount of hole defects on the sidewall of the channel hole 3HD in the step region, which affects the film quality and reduces the reliability of the device when extending the boss or the vertical channel layer subsequently.
Disclosure of Invention
It is therefore an object of the present invention to overcome the above-mentioned drawbacks and to improve the reliability of the device.
To this end, the present invention provides a semiconductor device comprising:
a substrate;
a conductor/insulator stack disposed on the substrate, formed by alternately stacking conductive layers and insulating layers along a first direction, and including a core region and a stepped region disposed side by side along a second direction, wherein a thickness of the core region along the first direction is constant, and a thickness of the stepped region along the first direction decreases with increasing distance from the core region along the second direction; the first direction is a direction perpendicular to the surface of the substrate, and the second direction is a direction parallel to the surface of the substrate;
a plurality of storage channel regions vertically penetrating a core region of the conductor/insulator stack along the first direction;
a plurality of dummy channel regions vertically penetrating the step region of the conductor/insulator stack in the first direction, in contact with the substrate; the plurality of dummy channel regions are comprised of an insulating material.
Wherein the bottom of each memory channel region has a raised epitaxial layer.
Wherein the top of the epitaxial layer is raised at least 1/3 above the height of the lowest dielectric layer.
Further comprising a protective layer covering the core region and the stepped region of the conductor/insulator stack.
The invention also provides a semiconductor device manufacturing method, which comprises the following steps:
forming a plurality of first dielectric layers and second dielectric layers which are alternately stacked along a first direction on a substrate to form a dielectric stack, wherein the dielectric stack comprises a core area and a step area which are arranged side by side along a second direction; the thickness of the core region along the first direction is constant, and the thickness of the step region along the first direction is gradually reduced along with the distance from the core region along the second direction; the first direction is a direction perpendicular to the surface of the substrate, and the second direction is a direction parallel to the surface of the substrate;
etching the dielectric lamination layer in the core area of the device by adopting a first photoresist pattern to form a plurality of channel holes exposing the substrate;
forming a storage channel region in the plurality of channel holes;
etching the dielectric lamination layer in the device step area by adopting a second photoresist pattern to form a plurality of openings for exposing the substrate;
and filling an insulating material in the plurality of openings to form a dummy channel region.
Wherein, before forming the storage channel region, the method further comprises forming a raised epitaxial layer at the bottom of the plurality of channel holes.
Wherein forming the dielectric stack further comprises forming a protective layer on the dielectric stack.
The step of forming the storage channel region comprises the steps of depositing a semiconductor material to fill the plurality of channel holes and carrying out planarization treatment until the protective layer is exposed; and/or, the step of forming the insulating layer comprises depositing an insulating material to fill the plurality of openings and planarizing until the protective layer is exposed.
The storage channel region comprises a grid insulation stack, a semiconductor channel layer and a channel filling layer.
According to the semiconductor device and the manufacturing method thereof, the mask is used for etching and filling the core region and the step region respectively, so that the growth defect of the epitaxial layer at the bottom of the step region is avoided, and the reliability of the device is improved.
The stated objects of the invention, as well as other objects not listed here, are met within the scope of the independent claims of the present application. Embodiments of the invention are defined in the independent claims, with specific features being defined in the dependent claims.
Drawings
The technical solution of the present invention is explained in detail below with reference to the accompanying drawings, in which:
FIGS. 1a to 1D show schematic diagrams of a prior art 3D memory process;
fig. 2a to 2f are cross-sectional views showing a semiconductor device manufacturing process according to an embodiment of the present invention; and
FIG. 3 shows a flow chart of a method of manufacturing according to an embodiment of the invention.
Detailed Description
Features of the technical solution of the present invention and technical effects thereof are described in detail below with reference to the accompanying drawings in conjunction with exemplary embodiments, disclosing a semiconductor device and a method of manufacturing the same that can effectively improve reliability of a 3D NAND memory device. It is noted that like reference numerals refer to like structures and that the terms "first", "second", "upper", "lower", and the like as used herein may be used to modify various device structures. These modifications do not imply a spatial, sequential, or hierarchical relationship to the structures of the modified devices unless specifically stated.
As shown in FIG. 2a, a substrate 10 is provided, which may comprise bulk silicon (bulk Si), bulk germanium (bulk Ge), silicon-on-insulator (SOI), germanium-on-insulator (GeOI), or other compound semiconductor substrate, such as SiGe, Si: C, SIGeC, GaN, GaAs, InP, etc., as well as combinations thereof. The substrate is preferably a silicon-containing substrate such as Si, SOI, SiGe, Si: C, SiGeC, etc., for compatibility with existing IC fabrication processes. The substrate includes a portion corresponding to the core region 10C of the semiconductor device, and a portion corresponding to the step region 10D of the semiconductor device. Preferably, an isolation layer 10s of, for example, silicon oxide is formed on the substrate 10 by LPCVD, PECVD, or the like.
The dielectric layer stack in which the first dielectric layer 20A and the second dielectric layer 20B are alternately stacked is sequentially formed on the isolation layer 10s by using conventional processes including LPCVD, PECVD, HDPCVD, UHVCVD, MOCVD, MBE, ALD, evaporation, sputtering, thermal oxidation, chemical oxidation, and the like. The materials of layer 20A and layer 20B are different from each other to provide greater etch selectivity, such as any one or a combination of silicon oxide, silicon nitride, silicon oxynitride, silicon nitride doped with carbon, silicon nitride doped with fluorine, silicon oxide doped with carbon, silicon oxide doped with fluorine, amorphous carbon, diamond-like amorphous carbon (DLC), germanium oxide, aluminum nitride. In a preferred embodiment of the present invention, the first dielectric layer 20A is silicon nitride and the second dielectric layer 20B is silicon oxide. In another preferred embodiment of the present invention, the bottom-most second dielectric layer 20B is thicker than the remaining second dielectric layers 20B (not shown), and is also further thicker than all of the other first dielectric layers 20A, to achieve good isolation of the bottom select transistor from the upper cell transistor string. In some embodiments, the dielectric layer stack is stepped in the device step region 10D, and the step height thereof decreases to 0 with increasing distance from the core region.
A protective layer 30 of silicon oxide (e.g., doped B, P, C, F, such as TEOS silicon oxide) or a low-k material is formed over the entire device by CVD, spin coating, spray coating, screen printing, and the like. Wherein the low-k material includes, but is not limited to, organic low-k materials (e.g., aryl or multi-ring containing organic polymers), inorganic low-k materials (e.g., amorphous carbon nitride films, polycrystalline boron nitride films, fluorosilicone glass, BSG, PSG, BPSG), porous low-k materials (e.g., disiloxane (SSQ) -based porous low-k materials, porous silica, porous SiOCH, C-doped silica, F-doped porous amorphous carbon, porous diamond, porous organic polymers).
A photoresist pattern 31P is formed on the protective layer 30 to expose only the protective layer 30 of the core region. The photoresist pattern 31P is an array of a plurality of opening patterns, similar to the right portion 3C of fig. 1 b. The shape in plan view may be a variety of geometric shapes such as a rectangle, square, diamond, circle, semicircle, oval, triangle, pentagon, hexagon, octagon, and the like.
As shown in fig. 2B, the resist layer 30, the dielectric layer stack 20A/20B, and the isolation layer 10s are sequentially etched using the photoresist pattern 31P as a mask to form an open array of a plurality of channel holes 30HC (referred to as core region channel holes) exposing the substrate of the core region 10C. Preferably using an anisotropic etching process, e.g. (using C)xHyFzIsofluorocarbon etching gases, or SF6、NF3、Cl2HBr, etc. carbon-free etching gas) plasma dry etching or reactive ion etching, etc. Preferably, a slight over-etching is performed, for example 0.2 to 1nm deep into the substrate surface, to ensure complete removal of various defects of the substrate surface, such as native oxides, cracks, contaminant particles, etc. It is further preferred that a wet etching process (e.g., TMAH for Si) is used to etch the substrate surface to form a plurality of periodic micro-pits or micro-protrusions (not shown) for use as nucleation structures for subsequent CVD deposition or epitaxial growth, further improving the film growth quality.
As shown in fig. 2C, a semiconductor epitaxial layer (or mesa) 10EC is selectively epitaxially grown on the substrate exposed at the bottom of the trench hole 30HC in the core region 10C. Growth processes such as LPCVD, PECVD, HDPCVD, UHVCVD, MOCVD, MBE, ALD, etc., and deposition processes in which conformality and step coverage are good are preferred. The material of the semiconductor layer 10EC may be the same as or different from that of the substrate 10C, and may be, for example, a group IV element such as silicon or germanium, or a group IV, III-V or II-VI compound semiconductor such as SiGe, Si: C, SiGe: C, Ge, GeSn, InSn, InN, InP, GaN, GaP, GaSn, GaAs, or the like, or a combination thereof. Preferably, the semiconductor layer 10EC is made of a different material from the substrate to apply stress to the memory channel region using lattice mismatch, thereby improving the driving capability of the selection transistor. Preferably, the semiconductor layer 10EC is directly deposited as a single crystal structure to improve the film formation quality of future panels, or a polycrystalline layer is formed in a low-temperature film formation process and then laser rapid annealing is performed to recrystallize the irradiated region (e.g., the bottom of the channel hole) into a local single crystal or a polycrystalline structure with large crystal domains, so as to avoid the problem of thermal budget for other devices on the wafer due to excessive process temperature during the film formation of the single crystal. Preferably, the photoresist pattern 31P is removed using a wet etching or oxygen plasma dry etching (ashing) process.
As shown in fig. 2d, a vertical memory channel region is formed in the core region channel hole 30 HC. Optionally, a gate insulating layer stack (not shown, uniformly deposited and then etched to expose the bottom epitaxial layer 10EC) is formed on the sidewalls of the channel hole 30HC using a well-conformal deposition process such as HDPCVD, MOCVD, UHVCVD, MBE, ALD, and the like, for example, including an interfacial layer, a barrier layer, a charge storage layer, a tunneling layer, and combinations thereof, for improving memory transistor performance. The gate insulation layer stack may be made of silicon oxide (different deposition process parameters and thicknesses may be controlled to achieve different functions), silicon nitride, or other high-k materials. High-k materials include, but are not limited to, materials selected from HfO2、HfSiOx、HfSiON、HfAlOx、HfTaOx、HfLaOx、HfAlSiOx、HfLaSiOxOr a hafnium-based material selected from ZrO2、La2O3、LaAlO3、Ta2O5、TiO2、Y2O3、CeO2Or the rare earth-based high-K dielectric material comprises SiN, AlSiN, AlN and Al2O3And a composite layer of the above materials. In a preferred embodiment of the present invention, the gate insulating layer stack is an ONO (silicon oxide-silicon nitride-silicon oxide) stack structure. The memory channel region is then selectively epitaxially grown with a vertical channel layer 40CC based on the epitaxial layer mesa 10 EC. The material of the channel layer 40CC is preferably the same as or similar to that of the epitaxial layer 10EC (with a lattice constant similar to that of the epitaxial layer, with a difference of 15% or less), and may be selected from group IV elementary substances such as silicon and germanium, group IV, group III-V or group II-VI compound semiconductors such as SiGe, Si: C, SiGe: C, Ge, and SiGe,GeSn, InSn, InN, InP, GaN, GaP, GaSn, GaAs, and the like, and combinations thereof. Preferably, the vertical channel layer 40CC is grown while in-situ doping is performed to provide or change the channel layer conductivity type. In some embodiments of the present invention, the gate insulation layer stack is not formed prior to forming the vertical channel layer, but is deposited in a lateral recess left after subsequent etching to remove the first dielectric layer 20A. In a preferred embodiment of the present invention, the epitaxial layer 40CC does not completely fill the core region channel hole 30HC, but the channel isolation layer 40FC is formed by depositing an insulating material or filling an inert gas (with an insulating isolation plug on top). Subsequently, a planarization process such as CMP, etch back, etc. is performed until the protective layer 30 is exposed.
As shown in fig. 2e, the dielectric layer stack 20A/20B in the stepped region 10D is etched using the second photoresist pattern 32P to form a plurality of stepped region recesses or openings 30HD exposing the substrate. The second photoresist pattern 32P completely covers the core region, leaving an array of openings similar to that shown in the left region 3D of fig. 1b only in the step region. The etching process is similar to the process shown in fig. 2b, preferably an anisotropic dry etch. Preferably, as the etch approaches the bottom of the recess (e.g., to the last 3 or 5 dielectric layer 2A/2B combination units), the amount of etch gas is reduced and the amount of oxidizing gas (e.g., O) is increased2、O3、H2O or COS) to form a silicon oxide based pad layer on the sidewall of the deep hole for improving the adhesion of the subsequent filling insulating material.
As shown in fig. 2f, the step-region deep hole 30HD is filled with an insulating material to form an insulating filling layer 50. The deposition process is preferably a process having excellent conformality and good film formation quality such as ALD and MBE, and a thermal oxidation process may be used. Insulating material 50 is, for example, a silicon oxide-based material, including but not limited to SiO2、SiON、SiOC、SiOF、Si(OCH)xBSG (B-doped silica glass), PSG (P-doped silica glass), BPSG (B, P-doped silica glass), and the like. The insulating material is then planarized using a process such as CMP until the protective layer 30 is exposed.
Subsequently, a subsequent process (not shown in subsequent drawings) is performed. For example, etching the dielectric layer stack between the vertical storage channel regions to form an exposed substrate andand removing the first dielectric layer 20A by utilizing deep hole isotropic etching to leave a transverse recess in the deep hole on the side wall of the dielectric layer. And implanting at the bottom of the deep hole to form a common source region. A gate insulating layer of silicon oxide or high-k material is conformally formed in the lateral recess using a process such as thermal oxidation, chemical oxidation, CVD deposition, or the like. Then, by using deposition processes such as MOCVD, MBE, ALD, HDPCVD, etc., the gate conductive layer is filled in the remaining portion of the lateral recess, and the material of the gate conductive layer may be polysilicon, poly-silicon-germanium, or metal, wherein the metal may include simple metal such as Co, Ni, Cu, Al, Pd, Pt, Ru, Re, Mo, Ta, Ti, Hf, Zr, W, Ir, Eu, Nd, Er, La, etc., or alloy of these metals, or conductive nitride or conductive oxide of these metals, and may be doped with elements such as C, F, N, O, B, P, As, etc. to adjust the work function. A nitride barrier layer (not shown) is preferably formed between the gate conductive layer and the gate insulating layer by PVD, CVD, ALD, etc., and the barrier layer is preferably MxNy、MxSiyNz、MxAlyNz、MaAlxSiyNzWherein M is Ta, Ti, Hf, Zr, Mo, W or other elements. A drain region is then formed atop the memory channel region 40 CC.
The finally formed semiconductor device includes: the memory channel regions are distributed vertically to the substrate and are positioned in the device core region; a plurality of gate stacks and a plurality of dielectric layers (remaining second dielectric layers) between adjacent gate stacks, orthogonally distributed horizontally to the memory channel region; and a plurality of dummy channel regions distributed vertically to the substrate and positioned in the device step region, and penetrating the plurality of gate stacks and the plurality of dielectric layers to the substrate. Wherein the bottom of each memory channel region has a semiconductor mesa. Preferably, the top of the semiconductor mesa is at least 1/3 above the height of the lowermost dielectric layer. Wherein a protective layer is also present on top of the entire device. The remaining structure, layout and material selection of the semiconductor device, as described in the previous manufacturing method, are not described in detail herein.
According to the semiconductor device and the manufacturing method thereof, the mask is used for etching and filling the core region and the step region respectively, so that the growth defect of the epitaxial layer at the bottom of the step region is avoided, and the reliability of the device is improved.
While the invention has been described with reference to one or more exemplary embodiments, it will be understood by those skilled in the art that various changes may be made and equivalents may be substituted for elements thereof without departing from the scope of the invention. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the disclosure without departing from the essential scope thereof. Therefore, it is intended that the invention not be limited to the particular embodiment disclosed as the best mode contemplated for carrying out this invention, but that the disclosed device structure and its method of manufacture will include all embodiments falling within the scope of the present invention.

Claims (10)

1. A semiconductor device, comprising:
a substrate;
a conductor/insulator stack disposed on the substrate, formed by alternately stacking conductive layers and insulating layers along a first direction, and including a core region and a stepped region disposed side by side along a second direction, wherein a thickness of the core region along the first direction is constant, and a thickness of the stepped region along the first direction decreases with increasing distance from the core region along the second direction; the first direction is a direction perpendicular to the surface of the substrate, and the second direction is a direction parallel to the surface of the substrate;
a plurality of storage channel regions vertically penetrating a core region of the conductor/insulator stack along the first direction;
a plurality of dummy channel regions vertically penetrating the step region of the conductor/insulator stack in the first direction, in contact with the substrate; the dummy channel regions are made of silicon oxide-based insulating materials prepared by ALD (atomic layer deposition), MBE (moving bed epitaxy) and thermal oxidation processes, a silicon oxide-based cushion layer is further arranged between the dummy channel regions and the conductor/insulator lamination, and when the deep hole is formed in the etching step region and the position close to the bottom of the deep hole is close to the deep hole, the content of etching gas is reduced, and the oxidizing gas is increased so that the silicon oxide-based cushion layer is formed on the side wall of the deep hole and used for improving the adhesion of the follow-up filling of the silicon oxide.
2. The semiconductor device of claim 1, wherein a bottom of each memory channel region has a raised epitaxial layer.
3. The semiconductor device of claim 2 wherein the top of the epitaxial layer is raised at least 1/3 above the height of the lowermost dielectric layer.
4. The semiconductor device of claim 1, further comprising a protective layer covering the core region and the step region of the conductor/insulator stack.
5. A semiconductor device manufacturing method, comprising:
forming a plurality of first dielectric layers and second dielectric layers which are alternately stacked along a first direction on a substrate to form a dielectric stack, wherein the dielectric stack comprises a core area and a step area which are arranged side by side along a second direction; the thickness of the core region along the first direction is constant, and the thickness of the step region along the first direction is gradually reduced along with the distance from the core region along the second direction; the first direction is a direction perpendicular to the surface of the substrate, and the second direction is a direction parallel to the surface of the substrate;
etching the dielectric lamination layer in the core area of the device by adopting a first photoresist pattern to form a plurality of channel holes exposing the substrate;
forming a storage channel region in the plurality of channel holes;
etching the dielectric lamination layer in the device step area by adopting a second photoresist pattern to form a plurality of openings for exposing the substrate;
in the process of forming the plurality of openings, when the openings are close to the bottom of the deep hole, the content of etching gas is reduced, and oxidizing gas is increased to form a silicon oxide base cushion layer on the side wall of the deep hole for improving the adhesive force of the subsequent filling of the silicon oxide base insulating material;
and filling a silicon oxide-based insulating material prepared by ALD, MBE and thermal oxidation processes into the plurality of openings to form a dummy channel region.
6. The manufacturing method of a semiconductor device according to claim 5, wherein the forming of the memory channel region further comprises etching the substrate to form a periodic pattern and/or performing over-etching.
7. The semiconductor device manufacturing method according to claim 5, wherein the forming of the memory channel region further comprises forming a raised epitaxial layer at the bottom of the plurality of channel holes.
8. A method for fabricating a semiconductor device according to claim 5, wherein forming the dielectric stack further comprises forming a protective layer on the dielectric stack.
9. The manufacturing method of a semiconductor device according to claim 8, wherein the step of forming the memory channel region comprises depositing a semiconductor material to fill the plurality of channel holes and planarizing until the protective layer is exposed; and/or, the step of forming the insulating layer comprises depositing an insulating material to fill the plurality of openings and planarizing until the protective layer is exposed.
10. The semiconductor device manufacturing method according to claim 5, wherein the storage channel region comprises a gate insulation stack, a semiconductor channel layer, a channel filling layer.
CN201810231866.1A 2018-03-20 2018-03-20 Semiconductor device and method for manufacturing the same Active CN108649033B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810231866.1A CN108649033B (en) 2018-03-20 2018-03-20 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810231866.1A CN108649033B (en) 2018-03-20 2018-03-20 Semiconductor device and method for manufacturing the same

Publications (2)

Publication Number Publication Date
CN108649033A CN108649033A (en) 2018-10-12
CN108649033B true CN108649033B (en) 2021-07-13

Family

ID=63744456

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810231866.1A Active CN108649033B (en) 2018-03-20 2018-03-20 Semiconductor device and method for manufacturing the same

Country Status (1)

Country Link
CN (1) CN108649033B (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109192735B (en) * 2018-10-15 2021-02-05 长江存储科技有限责任公司 3D memory device and method of manufacturing the same
CN109742080B (en) * 2018-12-03 2021-02-26 长江存储科技有限责任公司 Three-dimensional memory and preparation method thereof
CN109690774B (en) * 2018-12-07 2019-11-22 长江存储科技有限责任公司 Hierarchic structure and contact structures for three-dimensional storage
CN109817639B (en) * 2019-01-17 2022-05-13 长江存储科技有限责任公司 Three-dimensional memory device and forming method thereof
CN109768049B (en) * 2019-01-24 2020-06-05 长江存储科技有限责任公司 3D NAND memory device and manufacturing method thereof
CN111180457B (en) * 2020-01-02 2023-09-26 长江存储科技有限责任公司 3D memory device and method of manufacturing the same
CN111162080B (en) * 2020-01-03 2021-04-20 长江存储科技有限责任公司 Three-dimensional memory, preparation method of three-dimensional memory and electronic equipment
CN111244095B (en) * 2020-03-25 2023-06-30 长江存储科技有限责任公司 Three-dimensional memory and preparation method thereof
CN111584496B (en) * 2020-05-21 2021-04-27 长江存储科技有限责任公司 Memory manufacturing method and memory
CN111799273A (en) * 2020-06-11 2020-10-20 长江存储科技有限责任公司 Semiconductor device and manufacturing method thereof
CN112466888A (en) * 2020-11-18 2021-03-09 长江存储科技有限责任公司 Method for filling polycrystalline silicon material in semiconductor device structure and preparing 3D NAND memory
CN113471212B (en) * 2021-06-30 2022-05-03 长江存储科技有限责任公司 Storage device and manufacturing method thereof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9887207B2 (en) * 2014-08-18 2018-02-06 Sandisk Technologies Llc Three dimensional NAND device having dummy memory holes and method of making thereof
US9478561B2 (en) * 2015-01-30 2016-10-25 Samsung Electronics Co., Ltd. Semiconductor memory device and method of fabricating the same
US9679906B2 (en) * 2015-08-11 2017-06-13 Sandisk Technologies Llc Three-dimensional memory devices containing memory block bridges
KR102591057B1 (en) * 2016-04-08 2023-10-18 삼성전자주식회사 Vertical memory devices
KR102634947B1 (en) * 2016-08-18 2024-02-07 삼성전자주식회사 Vertical memory devices and method of manufacturing the same
CN107680972B (en) * 2017-11-01 2019-01-29 长江存储科技有限责任公司 A kind of 3D nand memory part and its manufacturing method

Also Published As

Publication number Publication date
CN108649033A (en) 2018-10-12

Similar Documents

Publication Publication Date Title
CN108649033B (en) Semiconductor device and method for manufacturing the same
US10644020B2 (en) Three-dimensional semiconductor memory device with a substrate contact region and method of manufacturing the same
US10868025B2 (en) Three-dimensional memory device including replacement crystalline channels and methods of making the same
US20170154895A1 (en) Three-Dimensional Semiconductor Device and Manufacturing Method Therefor
CN108470737B (en) Three-dimensional memory and manufacturing method thereof
US20220028740A1 (en) Vertical memory devices and methods of manufacturing the same
US10510885B1 (en) Transistor with asymmetric source/drain overlap
US20220302116A1 (en) Semiconductor Device and Method
TWI807104B (en) A semiconductor device and a method of manufacturing the same
CN108630691B (en) Three-dimensional memory and manufacturing method thereof
US10770464B2 (en) Semiconductor device including bit line structure of dynamic random access memory (DRAM) and method for fabricating the same
US11901455B2 (en) Method of manufacturing a FinFET by implanting a dielectric with a dopant
US11764222B2 (en) Method of forming a dummy fin between first and second semiconductor fins
US20220181440A1 (en) Transistors with Stacked Semiconductor Layers as Channels
TW201946221A (en) Method for forming a thin semiconductor-on-insulator (SOI) substrate
CN108550578B (en) Three-dimensional memory manufacturing method
US20230420525A1 (en) Method for forming semiconductor device
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
US11658245B2 (en) Semiconductor device and method of manufacturing
US20240021619A1 (en) Finfet device and method
TWI785775B (en) Semiconductor device and its manufacturing method
US20230155005A1 (en) Semiconductor device and method
US20240072147A1 (en) Semiconductor device and manufacturing method thereof
US20230268225A1 (en) Semiconductor device and method of forming the same
US20230411457A1 (en) Semiconductor device and method of manufacturing thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant