CN108109941A - 清洗组合物、清洗装置以及制造半导体器件的方法 - Google Patents

清洗组合物、清洗装置以及制造半导体器件的方法 Download PDF

Info

Publication number
CN108109941A
CN108109941A CN201711191153.9A CN201711191153A CN108109941A CN 108109941 A CN108109941 A CN 108109941A CN 201711191153 A CN201711191153 A CN 201711191153A CN 108109941 A CN108109941 A CN 108109941A
Authority
CN
China
Prior art keywords
cleaning
chemical solution
surfactant
particle
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201711191153.9A
Other languages
English (en)
Inventor
朴美贤
吴政玟
金仁基
金舒玄
金兑洪
李晓山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN108109941A publication Critical patent/CN108109941A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/002Surface-active compounds containing sulfur
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/14Sulfonic acids or sulfuric acid esters; Salts thereof derived from aliphatic hydrocarbons or mono-alcohols
    • C11D1/146Sulfuric acid esters
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/29Sulfates of polyoxyalkylene ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/48Medical, disinfecting agents, disinfecting, antibacterial, germicidal or antimicrobial compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2006Monohydric alcohols
    • C11D3/201Monohydric alcohols linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2006Monohydric alcohols
    • C11D3/2017Monohydric alcohols branched
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • C11D3/2044Dihydric alcohols linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/32Amides; Substituted amides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3445Organic compounds containing sulfur containing sulfino groups, e.g. dimethyl sulfoxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

一种清洗组合物包括表面活性剂、去离子(DI)水和有机溶剂。表面活性剂具有从约0.03M到约0.003M的浓度。一种清洗装置包括接收基板的卡盘、用于将清洗组合物提供到基板上的喷嘴。该清洗装置还包括将清洗组合物供应到喷嘴的化学溶液供应单元。该化学溶液供应单元混合清洗组合物以产生清洗颗粒。清洗组合物包括表面活性剂、去离子(DI)水和有机溶剂。表面活性剂具有从约0.03M到约0.003M的浓度。一种制造半导体器件的方法包括处理基板、形成层间绝缘层、抛光层间绝缘层、以及将清洗组合物提供到层间绝缘层上以去除第一颗粒。

Description

清洗组合物、清洗装置以及制造半导体器件的方法
技术领域
本发明构思的示例性实施方式涉及制造半导体器件的方法,更具体地,涉及能够去除工艺颗粒的清洗组合物、利用该清洗组合物的清洗装置、以及通过利用该清洗组合物制造半导体器件的方法。
背景技术
随着半导体器件的发展,需要具有更精细的图案和多层电路结构的高度集成的半导体器件。此外,开发用于去除工艺颗粒的清洗工艺对于防止精细图案被污染可以是必要的。例如,标准清洗1(SC-1)溶液可以用作清洗工艺中的清洗溶液。SC-1溶液可以包括氨水和过氧化氢。SC-1溶液可以在蚀刻表面之后提供排斥力,从而从该表面去除工艺颗粒。然而,SC-1溶液可能由于该表面的蚀刻而导致层的损伤。
发明内容
根据本发明构思的一示例性实施方式,一种清洗组合物包括表面活性剂、去离子(DI)水和有机溶剂。表面活性剂具有从约0.03M到约0.003M的浓度。
根据本发明构思的一示例性实施方式,一种清洗装置包括接收基板的卡盘、将化学溶液提供到基板上的喷嘴。清洗装置还包括用于将化学溶液供应到喷嘴的化学溶液供应单元。化学溶液供应单元混合化学溶液以产生清洗颗粒。化学溶液包括表面活性剂、去离子(DI)水和有机溶剂。表面活性剂具有从约0.03M到约0.003M的浓度。
根据本发明构思的一示例性实施方式,一种制造半导体器件的方法包括处理基板、在基板上形成层间绝缘层、抛光层间绝缘层。该方法还包括将清洗组合物提供到层间绝缘层上以去除第一工艺颗粒。该清洗组合物包括表面活性剂、去离子(DI)水和有机溶剂。表面活性剂具有从约0.03M到约0.003M的浓度。
根据本发明构思的一示例性实施方式,一种清洗组合物包括表面活性剂、去离子(DI)水和有机溶剂。表面活性剂具有约0.32M的浓度。
附图说明
通过参照附图详细描述本发明构思的示例性实施方式,本发明构思的以上及其它特征将变得更加明显,附图中:
图1是示出根据本发明构思的一示例性实施方式的用于制造半导体器件的设备的平面图。
图2是示出根据本发明构思的一示例性实施方式的图1的清洗装置的一实施方式的视图。
图3是示出根据本发明构思的一示例性实施方式的相对于图2的工艺颗粒的尺寸的化学溶液的清洗效率和一般标准清洗1(SC-1)溶液的清洗效率的曲线图。
图4是示出根据本发明构思的一示例性实施方式的图2的化学溶液供应单元的一实施方式的视图。
图5是示出根据本发明构思的一示例性实施方式的图4的清洗颗粒的一实施方式的透视图。
图6是示出根据本发明构思的一示例性实施方式的具有图5的清洗颗粒的化学溶液的工艺颗粒去除效率和没有清洗颗粒的化学溶液的工艺颗粒去除效率的曲线图。
图7是示出根据本发明构思的一示例性实施方式的根据图5的清洗颗粒的横向长度的工艺颗粒的去除效率的曲线图。
图8是示出根据本发明构思的一示例性实施方式的图4的循环过滤器的一实施方式的视图。
图9是示出根据本发明构思的一示例性实施方式的相对于图4的化学溶液的混合速度的工艺颗粒去除效率的曲线图。
图10和11分别是示出根据本发明构思的一示例性实施方式的半导体器件的透视图和平面图。
图12是示出根据本发明构思的一示例性实施方式的制造图10和11的半导体器件的方法的流程图。
图13是示出根据本发明构思的一示例性实施方式的处理图10的基板的步骤的一实施方式的流程图。
图14至28是根据本发明构思的一示例性实施方式的沿图11的线I-I'截取以示出制造半导体器件的方法的截面图。
图29是示出根据本发明构思的一示例性实施方式的图24的电介质颗粒和清洗颗粒的视图。
图30是示出根据本发明构思的一示例性实施方式的图28的金属颗粒和清洗颗粒的视图。
具体实施方式
将参照附图更全面地描述本发明构思的示例性实施方式。然而,本公开可以以许多不同的形式被体现并且不应被解释为限于在此陈述的实施方式。将理解,当一元件被称为“连接”到另一元件时,它可以直接连接到所述另一元件或者可以存在居间元件。
图1示出根据本发明构思的一示例性实施方式的用于制造半导体器件的设备100。
用于制造半导体器件的设备100可以包括化学机械抛光(CMP)设备。或者,用于制造半导体器件的设备100可以包括清洗设备或蚀刻设备。在另外的实施方式中,用于制造半导体器件的设备100可以包括索引装置110、传送装置120、抛光装置130和/或清洗装置140。
索引装置(index apparatus)110可以临时储存盒118。盒118可以接收基板W。在另外的实施方式中,索引装置110可以包括装载口112、传送框架114和/或索引臂116。装载口112可以在装载口112中接收盒118。盒118可以包括前开口统一盒(FOUP)。传送框架114可以包括索引臂116。索引臂116可以卸载接收在盒118中的基板W,并且可以将卸载的基板W传送到传送装置120。此外,索引臂116可以将基板W装载到盒118中。
传送装置120可以将基板W传送到抛光装置130和清洗装置140中。在另外的实施方式中,传送装置120可以包括缓冲室122和传送室124。缓冲室122可以设置在传送框架114与传送室124之间。缓冲室122可以包括缓冲臂123,缓冲臂123可以接收基板W。索引臂116可以将基板W提供到缓冲臂123上。此外,索引臂116可以将设置在缓冲臂123上的基板W传送到盒118中。传送室124可以设置在抛光装置130与清洗装置140之间。传送室124中的传送臂125可以将设置在缓冲臂123上的基板W提供到抛光装置130中。此外,传送臂125可以在抛光装置130与清洗装置140之间传送基板W。此外,传送臂125可以在清洗装置140与缓冲臂123之间传送基板W。
抛光装置130可以抛光基板W。例如,抛光装置130可以是化学机械抛光(CMP)装置。在另外的实施方式中,抛光装置130可以包括抛光垫132和抛光头134。基板W可以被提供在抛光垫132与抛光头134之间用于抛光。此外,磨料和/或浆料可以被提供到基板W上。抛光头134可以将基板W固定到抛光头134。抛光垫132可以抛光基板W。
清洗装置140可以去除基板W上的工艺颗粒。清洗设备140可以通过湿清洗方法清洗基板W。或者,清洗装置140可以通过干清洗方法清洗基板W。
图2示出根据本发明构思的一示例性实施方式的图1的清洗装置140的一实施方式。参照图2,清洗装置140可以包括卡盘410、碗420、第一臂432和第二臂434、第一喷嘴442和第二喷嘴444、流体连接到第一喷嘴442的第一去离子(DI)水供应单元450、以及流体连接到第二喷嘴444的化学溶液供应单元460。
卡盘410可以接收基板W。基板W可以通过真空泵(未示出)的操作固定地联接到卡盘410。卡盘410可以以预定的旋转速度旋转基板W。例如,卡盘410可以以从约10rpm到约6000rpm的旋转速度旋转基板W。第一DI水142或化学溶液144可以被提供到基板W的表面,并且可以通过离心力朝基板W的周边移动。那样,可以执行基板W的清洗。
碗420可以围绕基板W以接收碗420中的基板W。一旦被提供在基板W上,第一DI水142和/或化学溶液144可以通过离心力在从基板W到碗420的方向上移动。碗420可以防止提供在基板W上的第一DI水142和/或化学溶液144的流出。碗420可以将第一DI水142和/或化学溶液144排出到碗420中的在卡盘410下面的空间。碗420可以防止基板W被污染。
第一臂432和第二臂434可以分别将第一喷嘴442和第二喷嘴444固定在预定位置处。第一喷嘴442可以连接到第一臂432的上部。第二喷嘴444可以连接到第二臂434的上部。第一臂432和第二臂434可以分别移动位于基板W上方的第一喷嘴442和第二喷嘴444。例如,第一臂432和第二臂434可以在基板W的大致中心部分上方来回移动。
第一喷嘴442和第二喷嘴444可以分别将第一DI水142和化学溶液144提供到基板W上。例如,第一喷嘴442和第二喷嘴444可以在约1大气压到约10大气压的压力下提供第一DI水142和化学溶液144。第一DI水142和化学溶液144可以以液滴或喷雾的形式被提供。第一DI水142和化学溶液144可以被提供到基板W的大致中心部分上。第一DI水142和化学溶液144可以被提供以从基板W的大致中心部分向基板W的周边清洗基板W。第一DI水142和化学溶液144可以去除设置在基板W上的工艺颗粒146。
第一DI水供应单元450可以将第一DI水提供到第一喷嘴442。第一DI水142可以是清洗溶液。例如,第一DI水供应单元450可以包括净水器。
化学溶液供应单元460可以将化学溶液144提供到第二喷嘴444。化学溶液144可以是清洗溶液和/或清洗组合物。清洗组合物可以包括表面活性剂、第二DI水和/或有机溶剂,表面活性剂可以在稀释后的溶液中具有从约0.03M到约0.003M的浓度。例如,化学溶液144的pH可以设定为约9或更高。在一个实施方式中,当化学溶液144的pH实质上高时,化学溶液144中的工艺颗粒146之间的排斥力可以被增大。在化学溶液144的pH实质上高的另一实施方式中,基板W与设置在化学溶液144中的工艺颗粒146之间的排斥力可以被增大。
在一些实施方式中,化学溶液144可以包括表面活性剂、第二DI水(图4的514)和/或有机溶剂。有机溶剂可以包括异丙醇(IPA)、乙醇(EtOH)、甲醇(MeOH)、二甲基亚砜(DMSO)溶剂、二甲基甲酰胺(DMF)溶剂、乙二醇(EG)溶剂、丙二醇(PG)溶剂、四氢呋喃(THF)溶剂、N-甲基-2-吡咯烷酮(NMP)溶剂或N-乙基吡咯烷酮(NEP)溶剂。或者,有机溶剂可以包括二甲基亚砜(DMSO)、二甲基甲酰胺(DMF)、四氢呋喃(THF)、乙二醇(EG)、丙二醇(PG)和/或N-甲基-2-吡咯烷酮(NMP)。表面活性剂可以包括负离子表面活性剂。表面活性剂可以是具有由以下化学式1表示的结构的硫酸盐基化合物。
[化学式1]
(R1-O)a-(R2-O)b-SO3NH4
这里,“a”和“b”的每个是0到18的整数,“a”和“b”不同时为零(0),“R1”和“R2”是具有1到18的碳数的取代或未取代的烷基或亚烷基、或具有6到14的碳数的取代或未取代的亚芳基,当“a”或“b”为3或更大时,(R1-O)或(R2-O)任意地重复或者以块形式被重复。例如,当“a”为1、“R1”的碳数为16且“b”为0时,表面活性剂可以包括十六烷基硫酸铵(CH3(CH2)14CH2-SO3NH4)。表面活性剂可以增加工艺颗粒146的清洗效率。
图3示出根据本发明构思的一示例性实施方式的相于图2的工艺颗粒146的尺寸的化学溶液144的清洗效率462和一般SC-1溶液的清洗效率464。图3示出对于具有约100nm或更小的尺寸的工艺颗粒146,化学溶液144的清洗效率462可以显著高于一般SC-1溶液的清洗效率464。例如,化学溶液144的清洗效率462相对于具有约45nm或更小的尺寸的工艺颗粒146可以至少为约87%。另一方面,一般SC-1溶液的清洗效率464相对于具有约45nm或更小的尺寸的工艺颗粒146可以为约21%。一般SC-1溶液可以在约2个大气压或更大的高压下被提供到工艺颗粒146。如果基板W的上表面的一部分被高压的一般SC-1溶液损伤,则工艺颗粒146会再次产生。因此,具有约45nm或更小的尺寸的精细(小尺寸的)工艺颗粒146不会被容易地从基板W去除。另一方面,根据本发明构思的一示例性实施方式的化学溶液144可以在为大气压力的1个大气压的压力下被提供。化学溶液144中的表面活性剂可以从基板W吸附并去除精细的工艺颗粒。因此,相对于设置在基板W上的精细工艺颗粒146,根据本发明构思的一示例性实施方式的化学溶液144的清洗效率462可以高于一般SC-1溶液的清洗效率464。
图4示出根据本发明构思的一示例性实施方式的图2的化学溶液供应单元460的一实施方式。参照图4,化学溶液供应单元460可以循环化学溶液144。或者,化学溶液供应单元460可以在第一化学溶液缸562和第二化学溶液缸564中混合化学溶液144。化学溶液供应单元460可以包括源罐510、泵520、源过滤器530、第二DI水供应单元540和混合器550。
源罐510可以储存化学源512。化学源512可以包括表面活性剂和/或有机溶剂。化学源512可以包括约10%的表面活性剂和约90%的有机溶剂。或者,化学源512可以包括约10%的表面活性剂、约10%到约80%的DI水和约10%到约80%的有机溶剂。在一个示例中,有机溶剂和DI水中的表面活性剂可以具有0.32M的浓度。
泵520可以将化学源512提供到混合器550中。当供应阀522打开时,化学源512可以被提供到混合器550中。此外,泵520可以使化学源512循环经过循环线路532。循环阀534可以控制循环线路532中的化学源512。供应阀522和循环阀534可以相对于彼此交替地操作。例如,当供应阀522关闭时,循环阀534可以打开以使化学源512循环。当供应阀522打开时,循环阀534可以关闭。
源过滤器530可以连接到循环线路532。源过滤器530可以去除化学源512中的杂质。例如,源过滤器530可以去除具有50μm或更大的尺寸的杂质。
第二DI水供应单元540可以将第二DI水514提供到混合器550中。虽然未示出,但是第二DI水供应单元540可以流体联接到外部DI水供应源。在一些实施方式中,第二DI水514的供应量可以是化学源512的供应量的约10倍到约100倍。因此,化学源512可以用第二DI水514稀释。在这种情况下,化学溶液144的表面活性剂可以在稀释后的溶液中具有从约0.03M到约0.003M的浓度。例如,第二DI水514的供应量可以是化学源512的供应量的约30倍。在这种情况下,化学溶液144的表面活性剂可以在稀释后的溶液中具有约0.01M的浓度。
混合器550可以将化学源512与第二DI水514混合以产生化学溶液144。混合器550还可以在化学溶液144中产生清洗颗粒518。清洗颗粒518可以不同于一般胶束(未示出)。一般胶束可以在达到临界胶束浓度或更高时产生。另一方面,化学溶液144的清洗颗粒518可以通过溶解度的降低而产生。换言之,化学溶液144的清洗颗粒518可以在化学溶液144的饱和浓度处或之上产生。然而,清洗颗粒518的尺寸分布可以通过混合化学溶液144而变化。
图5示出根据本发明构思的一示例性实施方式的图4的清洗颗粒518的一实施方式。清洗颗粒518可以通过表面活性剂分子156的自组装形成。在一些实施方式中,与具有球形形状的一般胶束不同,清洗颗粒518可以具有六面体形状和/或立方体形状。例如,清洗颗粒518可以具有从约20μm到约200μm的横向长度L1。换言之,六面体形状的一侧的长度可以在从约20μm到约200μm的范围内。立方体形状的清洗颗粒518可以具有从约到约的尺寸和/或对角线长度。
图6示出根据本发明构思的一示例性实施方式的具有图5的清洗颗粒518的化学溶液144的工艺颗粒去除效率513和不包括清洗颗粒518的化学溶液144的工艺颗粒去除效率515。
参照图6,具有清洗颗粒518的化学溶液144的工艺颗粒去除效率513可以高于不包括清洗颗粒518的化学溶液144的工艺颗粒去除效率515。这可以归因于能吸附和去除工艺颗粒146的清洗颗粒518。清洗颗粒518的影响可以被明显地显示在图6中。图6示出具有清洗颗粒518的化学溶液144的工艺颗粒去除效率513可以为约81.0%。另一方面,不包括清洗颗粒518的化学溶液144的工艺颗粒去除效率515可以为约9.8%。参照回图4,在一个实施方式中,混合器550可以包括气体压缩混合器。当混合器550是气体压缩混合器的形式时,在混合器550中混合颗粒的时间可以被最小化。在另一实施方式中,如图4中所示,混合器550可以包括化学溶液缸560、循环过滤器570、循环管580和/或气体供应单元590。
化学溶液缸560可以储存化学溶液144。在一些实施方式中,化学溶液缸560可以包括第一化学溶液缸562和第二化学溶液缸564。第一化学溶液缸562可以连接到供应阀522。第一化学溶液缸562和第二化学溶液缸564可以具有相同的尺寸。第一化学溶液缸562和第二化学溶液缸564的每个可以储存约8升的化学溶液144。第一化学溶液缸562和第二化学溶液缸564可以分别具有第一排气阀563和第二排气阀565。第一排气阀563可以连接到第一化学溶液缸562的上部。第二排气阀565可以连接到第二化学溶液缸564的上部。第一DI水阀552可以连接在第一化学溶液缸562与第二DI水供应单元540之间。第二DI水阀554可以连接在第二化学溶液缸564与第二DI水供应单元540之间。在一个示例中,第一DI水阀552和第二DI水阀554可以分别调节第二DI水514到第一化学溶液缸562和第二化学溶液缸564的供应速率。
循环过滤器570可以设置在化学溶液缸560中。在一些实施方式中,循环过滤器570可以包括第一循环过滤器572和第二循环过滤器574。例如,第一循环过滤器572可以设置在第一化学溶液缸562中,第二循环过滤器574可以设置在第二化学溶液缸564中。循环过滤器570可以过滤其尺寸等于或大于某一预定尺寸的清洗颗粒518。
图7示出根据本发明构思的一示例性实施方式的根据具有图5的六面体形状的清洗颗粒518的横向长度L1的工艺颗粒146的去除效率517。
如图7中所示,工艺颗粒146的去除效率517可以随着清洗颗粒518的尺寸(例如横向长度L1)增大而增大。例如,具有约20μm或更大的横向长度L1的清洗颗粒518可以具有约20%或更大的工艺颗粒146的去除效率517。如图7中所示,当清洗颗粒518的横向长度L1在从约60μm到约200μm的范围内时,工艺颗粒146的去除效率517可以为80%或更大。当具有六面体形状的清洗颗粒518的横向长度L1为约120μm时,工艺颗粒146的去除效率517可以在从约90%到约95%的范围内。可以注意到,如果清洗颗粒518的横向长度L1大于约200μm,则清洗颗粒518会损伤基板W。如果横向长度L1小于约20μm,则工艺颗粒146的去除效率517可以低于20%。图8示出根据本发明构思的一示例性实施方式的图4的循环过滤器570的一实施方式。
参照图5和8,循环过滤器570的每个可以具有多个孔576。所述多个孔576可以在循环过滤器570中任意地设置。在一个示例中,所述多个孔576的每个可以具有从约到约的直径。所述多个孔576可以过滤其尺寸大于的清洗颗粒518。换言之,具有或更小的尺寸的立方体形状的清洗颗粒518可以穿过循环过滤器570的所述多个孔576。具有大于的尺寸的清洗颗粒518可以被循环过滤器570的所述多个孔576过滤。
在另一示例中,所述多个孔576的每个可以具有从约20μm到约200μm的直径。所述多个孔576可以过滤其尺寸大于200μm的清洗颗粒518。换言之,具有200μm或更小的尺寸的六面体形状的清洗颗粒518可以穿过循环过滤器570的所述多个孔576。具有大于200μm的尺寸的清洗颗粒518可以被循环过滤器570的所述多个孔576过滤。
参照图4和8,循环过滤器570可以通过施加电源578的预定电压和/或电流而被加热。循环过滤器570可以加热化学溶液144中的清洗颗粒518。例如,清洗颗粒518可以在约50摄氏度或更高的温度下溶解在化学溶液144中。例如,具有大于约的尺寸的清洗颗粒518可以溶解在化学溶液144中。因此,第一化学溶液缸562和第二化学溶液缸564中的化学溶液144可以包括具有约或更小的尺寸的清洗颗粒518。
参照图4,循环管580可以连接在第一化学溶液缸562的下部与第二化学溶液缸564的下部之间。化学溶液144可以通过循环管580在第一化学溶液缸562与第二化学溶液缸564之间循环。循环管580的直径可以小于第一化学溶液缸562的直径和/或第二化学溶液缸564的直径。例如,循环管580可以具有约15.06mm的直径。经过循环管580的化学溶液144可以在第一化学溶液缸562和第二化学溶液缸564中混合。在一些实施方式中,循环管580可以连接到第二喷嘴444。化学溶液阀446可以连接在循环管580与第二喷嘴444之间用于控制化学溶液144的流动。例如,化学溶液阀446可以调节流过第二喷嘴444的化学溶液144的量。
气体供应单元590可以交替地将氮(N2)气体提供到第一化学溶液缸562和第二化学溶液缸564中。气体供应单元590可以具有第一气体供应阀592和第二气体供应阀594。第一气体供应阀592可以连接在气体供应单元590与第一化学溶液缸562之间。当第一气体供应阀592打开时,气体供应单元590可以将氮(N2)气体提供到第一化学溶液缸562中。在第一气体供应阀92打开的同时,第二气体供应阀594和第一排气阀563可以关闭。当气体供应单元590将氮(N2)气体提供到第一化学溶液缸562中时,化学溶液144可以从第一化学溶液缸562移动到第二化学溶液缸564中。第二气体供应阀594可以连接在气体供应单元590与第二化学溶液缸564之间。当第二气体供应阀594打开时,第一气体供应阀592和第二排气阀565可以关闭。当第二气体供应阀594打开时,气体供应单元590可以将氮(N2)气体提供到第二化学溶液缸564中。在这种情况下,化学溶液144可以从第二化学溶液缸564移动到第一化学溶液缸562中。
参照图4和5,当化学溶液144被循环和/或混合时,清洗颗粒518可以在化学溶液144中产生。如果化学溶液144不通过化学溶液供应单元460循环和/或混合,则清洗颗粒518可以几乎不在化学溶液144中产生。相反,清洗颗粒518可以通过循环和/或混合化学溶液144产生。例如,清洗颗粒518的产生速率可以与化学溶液144的循环速度和/或混合速度成比例。
图9示出根据本发明构思的一示例性实施方式的相对于图4的化学溶液144的混合速度的工艺颗粒去除效率519。
参照图9,工艺颗粒去除效率519可以随着化学溶液144的混合速度增加而增大。化学溶液144的混合速度可以被定义为每分钟经过循环管580的化学溶液144的流量。例如,当化学溶液144以约8lpm(升每分钟)到约10lpm的混合速度被混合时,工艺颗粒去除效率可以在从约60%到约80%的范围内。在一些实施方式中,清洗颗粒518可以具有从约80μm到约100μm的横向长度L1。当化学溶液144的混合速度为6lpm或更小时,工艺颗粒去除效率可以为60%或更小。此外,如果化学溶液144不被混合,则清洗颗粒518可以几乎不产生。在这种情况下,即使产生了清洗颗粒,清洗颗粒518的横向长度L1也会小于20μm。
在下文中将描述通过使用前述设备100制造半导体器件的方法。
图10和11示出根据本发明构思的示例性实施方式的半导体器件12。图12示出根据本发明构思的一示例性实施方式的制造图10和11的半导体器件12的方法。
参照图10和11,半导体器件12可以包括鳍场效应晶体管(fin-FET)。在一些实施方式中,半导体器件12可以包括鳍图案18、器件隔离层19、字线14和应力源62。鳍图案18可以从基板W的顶表面突出。例如,如图11中所示,鳍图案18可以在x方向上延伸。器件隔离层19可以形成在鳍图案18的两个侧壁的部分上。字线14可以形成在鳍图案18和器件隔离层19上。字线14可以在交叉鳍图案18的方向上延伸。例如,如图11中所示,字线14可以在y方向上延伸。
参照图12,制造半导体器件12的方法可以包括处理基板W(S10)、形成层间绝缘层(S20)、抛光层间绝缘层(S30)、去除电介质颗粒(S40)、去除虚设栅极堆叠(S50)、形成栅极金属层(S60)、抛光栅极金属层(S70)、以及去除金属颗粒(S80)。
图13示出根据本发明构思的一示例性实施方式的处理图10中的基板W的步骤S10的一实施方式。
参照图13,处理基板W的步骤S10可以包括在基板W上形成鳍图案18和应力源62的步骤。在一些实施方式中,处理基板W的步骤S10可以包括形成鳍图案18(S11)、形成虚设栅极堆叠(S12)、形成间隔物(S13)、去除鳍图案18的部分(S14)、形成轻掺杂漏极(LDD)区(S15)、以及形成应力源(S16)。
图14至28示出根据本发明构思的示例性实施方式的沿图1的线I-I'截取以示出制造半导体器件的方法的截面图。
参照图10至14,首先,鳍图案18可以在基板W上形成(S11)。鳍图案18可以包括从基板W生长的单晶硅。鳍图案18可以包括导电掺杂剂。器件隔离层19可以围绕鳍图案18形成。器件隔离层19可以通过浅沟槽隔离(STI)法形成。例如,器件隔离层19可以包括硅氧化物。
参照图13和15,虚设栅极堆叠32可以在鳍图案18和器件隔离层19上形成(S12)。虚设栅极堆叠32可以包括虚设栅极电介质图案31、虚设栅电极图案33、缓冲图案35和掩模图案37。虚设栅极电介质图案31、虚设栅电极图案33、缓冲图案35和掩模图案37可以通过薄层沉积工艺、光刻工艺和蚀刻工艺形成。
参照图13和16,间隔物41可以在虚设栅极堆叠32的两个侧壁上形成(S13)。间隔物41可以包括硅氧化物、硅氮化物或硅氮氧化物中的至少一种。间隔物41的每个可以包括内间隔物42、中间间隔物43和外间隔物44。内间隔物42、中间间隔物43和外间隔物44可以通过薄层沉积方法和自对准蚀刻法形成。
参照图13、17和18,鳍图案18的部分可以被去除以形成鳍凹陷59(S14)。在一些实施方式中,鳍凹陷59可以由初始鳍凹陷53形成。
参照图17,初始鳍凹陷53可以基本上沿着虚设栅极堆叠32和间隔物41的周边在鳍图案18中形成。初始鳍凹陷53可以通过各向异性蚀刻方法形成。初始鳍凹陷53可以与间隔物41自对准。
参照图18,鳍凹陷59可以通过各向同性地蚀刻具有初始鳍凹陷53的鳍图案18而形成。例如,鳍图案18可以通过湿蚀刻法被蚀刻。鳍凹陷59可以在间隔物41下方延伸。
参照图13和19,LDD区61可以在鳍凹陷59的下表面和侧壁处形成(S15)。LDD区61可以通过离子注入工艺形成。LDD区61可以包括其导电类型不同于鳍图案18中包括的掺杂剂的导电类型的掺杂剂。LDD区61可以沿着鳍凹陷59的基本上整个内表面具有基本上均匀的厚度。例如,鳍图案18可以包括硼(B)掺杂剂,LDD区61可以包括砷(As)或磷(P)掺杂剂。或者,鳍图案18可以包括砷(As)或磷(P)掺杂剂,LDD区61可以包括硼(B)掺杂剂。
参照图13、20和21,应力源62可以在鳍凹陷59中形成(S16)。在一些实施方式中,应力源62可以包括嵌入的应力源或应变诱导图案。应力源62可以是源/漏电极。在一些实施方式中,应力源62的每个可以包括第一半导体层63、第二半导体层64和第三半导体层65。
参照图20,第一半导体层63和第二半导体层64可以在鳍凹陷59的每个中形成。第一半导体层63和第二半导体层64的每个可以通过选择性外延生长(SEG)方法形成,并且可以包括硅(Si)、硅碳化物(SiC)、硅锗(SiGe)或其任何组合。第二半导体层64可以完全填充鳍凹陷59的每个。第二半导体层64的上部可以定位为高于鳍图案18的上部。
例如,第一半导体层63可以包括通过SEG方法形成的硼(B)掺杂的SiGe。第一半导体层63和第二半导体层64的锗(Ge)含量可以随着距基板W的距离增加而增加。第一半导体层63的Ge含量可以在从10%到25%的范围内。第一半导体层63中的硼(B)含量可以高于LDD区61中的硼(B)含量。第一半导体层63可以共形地覆盖鳍凹陷59的每个的内表面。例如,如图20中所示,第一半导体层63可以在共形地覆盖鳍凹陷59的每个的内表面的LDD区61的上表面上形成。第二半导体层64可以包括通过SEG方法形成的硼(B)掺杂的SiGe。第二半导体层64中的Ge含量可以高于第一半导体层63中的Ge含量。例如,第二半导体层64的Ge含量可以在从约25%到约50%的范围内。第二半导体层64中的硼(B)含量可以高于第一半导体层63中的硼(B)含量。或者,第一半导体层63和第二半导体层64的每个可以包括硅碳化物(SiC)。在另外的实施方式中,第一半导体层63和第二半导体层64可以包括通过SEG方法形成的硅(Si)。
参照图21,第三半导体层65可以在第二半导体层64上形成。第三半导体层65可以包括通过SEG方法形成的硅(Si)。
参照图12、21和22,层间绝缘层69可以在应力源62、虚设栅极堆叠32和间隔物41上形成(S20)。层间绝缘层69可以包括通过薄层沉积方法形成的电介质材料。例如,层间绝缘层69可以包括硅氧化物、硅氮化物、硅氮氧化物或其任何组合。
参照图1、12和23,抛光装置130可以抛光层间绝缘层69以暴露虚设栅电极图案33(S30)。抛光装置130可以通过化学机械抛光(CMP)方法抛光层间绝缘层69。当层间绝缘层69被抛光或平坦化时,掩模图案37和缓冲图案35可以被去除。层间绝缘层69、间隔物41和虚设栅电极图案33可以具有彼此基本上共面的暴露的上表面。CMP方法中使用的浆料的成分可以包括约0.01wt%到约10wt%的氧化物抛光颗粒、约0.1wt%到约10wt%的氧化剂、约0.5wt%到约10wt%的抛光调节剂、约0wt%到约3wt%的表面活性剂、约0wt%到约3wt%的pH调节剂、以及约64wt%到约99.39wt%的第三DI水。在CMP工艺之后,电介质颗粒147可以留在层间绝缘层69、间隔物41或虚设栅电极图案33的顶表面中的至少一个上。
参照图2、12和24,清洗装置140可以去除电介质颗粒147以清洗基板W(S40)。电介质颗粒147可以被第一DI水142和/或化学溶液144去除。
图29示出图23的电介质颗粒147以及根据本发明构思的一示例性实施方式的清洗颗粒518。
参照图29,清洗颗粒518可以吸附电介质颗粒147。清洗颗粒518可以物理地和/或化学地吸附电介质颗粒147。化学溶液144可以将清洗颗粒518和电介质颗粒147从基板W分离。例如,化学溶液144可以以约80%或更大的去除效率从基板W去除电介质颗粒147。
参照图12和25,虚设栅电极图案33和虚设栅极电介质图案31可以被去除以形成沟槽38(S50)。鳍图案18可以被暴露在沟槽38中。例如,虚设栅极电介质图案31和虚设栅电极图案33可以通过湿蚀刻方法被去除。湿蚀刻方法中使用的蚀刻剂可以包括诸如氢氟酸、盐酸、硫酸或硝酸的强酸溶液。
参照图12和26,第一栅极电介质层73和第二栅极电介质层74以及栅极金属层77可以在沟槽38中和层间绝缘层69上形成(S60)。第一栅极电介质层73和第二栅极电介质层74以及栅极金属层77可以通过热氧化法、化学气相沉积(CVD)法和/或原子层沉积(ALD)法形成。
第一栅极电介质层73可以在鳍图案18上形成。第一栅极电介质层73可以被定义为界面氧化物层。第一栅极电介质层73可以通过热氧化鳍图案18而形成。例如,第一栅极电介质层73可以包括硅氧化物。第一栅极电介质层73可以在沟槽38的下表面上形成。或者,虚设栅极电介质图案31可以用作第一栅极电介质层73。换言之,虚设栅极电介质图案31可以在沟槽38形成时保留,并且保留的虚设栅极电介质图案31可以用作第一栅极电介质层73。例如,第一栅极电介质层73可以具有约1nm的厚度。
第二栅极电介质层74可以在第一栅极电介质层73、间隔物41和层间绝缘层69上形成。第二栅极电介质层74可以通过ALD方法形成。第二栅极电介质层74可以包括高k电介质材料。例如,第二栅极电介质层74可以包括二氧化铪(HfO2)、铪硅氧化物(HfSiO)、二氧化钛(TiO2)、钽氧化物(Ta2O5或TaO2)。栅极金属层77可以具有从约1nm到约49nm的厚度。
栅极金属层77可以覆盖第二栅极电介质层74。栅极金属层77可以完全填充沟槽38并且可以覆盖基板W。在一些实施方式中,如图26中所示,栅极金属层77可以包括功函数层75和低电阻层76。
功函数层75可以在第二栅极电介质层74上形成。在一些实施方式中,功函数层75可以通过ALD方法形成。例如,功函数层75可以包括N功函数金属或P功函数金属。例如,N功函数金属可以包括钛碳化物(TiC)、钛铝化物(TiAl)、钽铝化物(TaAl)、铪铝化物(HfAl)、或其任何组合,P功函数金属可以包括钛氮化物(TiN)。
低电阻层76可以在功函数层75上形成。在一些实施方式中,低电阻层76可以通过溅射方法形成。例如,低电阻层76可以包括钨(W)、钨氮化物(WN)、钛(Ti)、钛氮化物(TiN)、钛铝化物(TiAl)、钛铝碳化物(TiAlC)、钽(Ta)、钽氮化物(TaN)、导电碳或其任何组合。
参照图1、12和27,抛光装置130可以抛光栅极金属层77以形成字线14(S70)。字线14可以是抛光的或平坦化的栅极金属层77。栅极金属层77可以通过CMP方法被平坦化。层间绝缘层69、间隔物41、第二栅极电介质层74和平坦化的栅极金属层77可以具有彼此基本上共面并暴露的上表面。金属颗粒148可以保留在层间绝缘层69、间隔物41、第二栅极电介质层74或平坦化的栅极金属层77的上部中的至少一个上。
参照图2、12和28,清洗装置140可以去除金属颗粒148以清洗基板W(S80)。例如,平坦化的栅极金属层77(字线14)、间隔物41和层间绝缘层69上的金属颗粒148可以通过将第一DI水142和化学溶液144提供到平坦化的栅极金属层77(字线14)、间隔物41和层间绝缘层69而被去除。
图30示出图28的金属颗粒148以及根据本发明构思的一示例性实施方式的清洗颗粒518。
参照图30,清洗颗粒518可以吸附金属颗粒148。清洗颗粒518可以物理地和/或化学地吸附金属颗粒148。化学溶液144可以将清洗颗粒518和金属颗粒148从基板W分离。例如,化学溶液144可以以约80%或更大的去除效率从基板W去除金属颗粒148。
根据本发明构思的一些实施方式,清洗组合物可以包括具有清洗颗粒的十六烷基硫酸铵。清洗颗粒可以吸附精细的工艺颗粒以去除精细的工艺颗粒。清洗组合物可以最小化对基板上部的损伤。相对于精细的工艺颗粒,清洗组合物的清洗效率可以优于SC-1溶液的清洗效率。
虽然已经参照示例实施方式描述了本发明构思,但对本领域技术人员明显的是,可以进行各种各样的改变和修改而不背离本发明构思的精神和范围。因此,应理解,以上实施方式不是限制性的,而是说明性的。因此,本发明构思的范围将由所附权利要求及其等同物的最宽的可允许的解释所确定,并且不应受前述描述的约束或限制。
本申请要求享有2016年11月25日在韩国知识产权局(KIPO)提交的韩国专利申请第10-2016-0158658号的优先权,其公开通过引用全文合并于此。

Claims (22)

1.一种清洗组合物,包括:
表面活性剂;去离子(DI)水;以及有机溶剂,
其中所述表面活性剂具有从约0.03M到约0.003M的浓度。
2.权利要求1所述的清洗组合物,其中所述表面活性剂是硫酸盐基表面活性剂。
3.权利要求1所述的清洗组合物,其中所述表面活性剂具有由以下化学式1表示的结构,
化学式1:
(R1-O)a-(R2-O)b-SO3NH4
其中“a”和“b”的每个为0到18的整数,“a”和“b”不同时为零(0),“R1”和“R2”是具有1到18的碳数的取代或未取代的烷基或亚烷基或具有6到14的碳数的取代或未取代的亚芳基,当“a”或“b”为3或更大时,(R1-O)或(R2-O))被任意地重复或以块形式被重复。
4.权利要求3所述的清洗组合物,其中“a”为1,“R1”的碳数为16,“b”为0,所述表面活性剂为十六烷基硫酸铵。
5.权利要求1所述的清洗组合物,其中当所述表面活性剂在所述去离子水中被混合时,所述表面活性剂产生清洗颗粒。
6.根据权利要求5所述的清洗组合物,其中所述清洗颗粒具有六面体形状或立方体形状。
7.根据权利要求6所述的清洗组合物,其中具有所述六面体形状的所述清洗颗粒的一边的长度在从约20微米到约200微米的范围内。
8.根据权利要求6所述的清洗组合物,其中具有所述六面体形状的所述清洗颗粒的一边的长度为约120微米。
9.根据权利要求1所述的清洗组合物,其中所述清洗组合物具有9或更大的pH。
10.权利要求1所述的清洗组合物,其中所述有机溶剂包括异丙醇(IPA)、乙醇(EtOH)、甲醇(MeOH)、二甲基亚砜(DMSO)、二甲基甲酰胺(DMF)、四氢呋喃(THF)、乙二醇(EG)、丙二醇(PG)、N-甲基-2-吡咯烷酮(NMP)或N-乙基吡咯烷酮(NEP)。
11.根据权利要求6所述的清洗组合物,其中具有所述立方体形状的所述清洗颗粒的尺寸和/或对角线长度在从约微米到约微米的范围内。
12.一种清洗装置,包括:
接收基板的卡盘;
将化学溶液提供到基板上的喷嘴;以及
将所述化学溶液供应到所述喷嘴的化学溶液供应单元,所述化学溶液供应单元混合所述化学溶液以产生清洗颗粒,
其中所述化学溶液包括:
表面活性剂;去离子(DI)水;以及有机溶剂,
其中所述表面活性剂具有从约0.03M到约0.003M的浓度。
13.根据权利要求12所述的清洗装置,其中所述化学溶液供应单元包括:
储存所述化学溶液的清洗源的源罐;
提供去离子水的去离子水供应单元,所述清洗源用所述去离子水稀释;以及
混合器,将所述去离子水和所述清洗源彼此混合以产生所述化学溶液并在所述化学溶液中产生清洗颗粒。
14.根据权利要求13所述的清洗装置,其中所述混合器包括:
储存所述化学溶液的多个化学溶液缸;
将所述化学溶液缸彼此连接的循环管;以及
气体供应单元,交替地将压缩气体提供到所述多个化学溶液缸中的一个中以使所述化学溶液在所述多个化学溶液缸之间循环。
15.根据权利要求14所述的清洗装置,其中所述混合器还包括:过滤器,设置在所述多个化学溶液缸中并具有过滤所述清洗颗粒的多个孔,
其中所述多个孔的每个具有从约到约微米的直径。
16.根据权利要求15所述的清洗装置,其中所述过滤器连接到电源以通过所述过滤器加热具有比所述多个孔的所述直径更大的直径的所述清洗颗粒,以将具有比所述多个孔的所述直径更大的直径的所述清洗颗粒溶解在所述化学溶液中。
17.一种制造半导体器件的方法,所述方法包括:
处理基板;
在所述基板上形成层间绝缘层;
抛光所述层间绝缘层;以及
将清洗组合物提供到所述层间绝缘层上以去除第一工艺颗粒,
其中所述清洗组合物包括:
表面活性剂;去离子(DI)水;以及有机溶剂,
其中所述表面活性剂具有从约0.03M到约0.003M的浓度。
18.根据权利要求17所述的方法,其中所述表面活性剂与所述去离子水混合以产生清洗颗粒,以及
其中所述清洗颗粒吸附所述第一工艺颗粒。
19.根据权利要求17所述的方法,其中所述基板的处理包括:
形成从所述基板突出的鳍图案;
在所述鳍图案上形成虚设栅极堆叠;
在所述虚设栅极堆叠的彼此相反的两个侧壁上形成间隔物;
去除所述鳍图案的部分以形成凹陷;
在所述凹陷的下表面和侧壁处形成轻掺杂的漏极(LDD)区;以及
在所述轻掺杂的漏极区上形成应力源。
20.根据权利要求19所述的方法,还包括:
去除所述虚设栅极堆叠以形成沟槽;
在所述沟槽中形成栅极金属层;
抛光所述栅极金属层以形成字线;以及
将所述清洗组合物提供到所述字线、所述间隔物和所述层间绝缘层上以去除第二工艺颗粒。
21.根据权利要求20所述的方法,其中所述表面活性剂与所述去离子水混合以产生清洗颗粒,以及
其中所述清洗颗粒吸附所述第二工艺颗粒。
22.一种清洗组合物,包括:
表面活性剂、去离子(DI)水;以及有机溶剂,
其中所述表面活性剂具有约0.32M的浓度。
CN201711191153.9A 2016-11-25 2017-11-24 清洗组合物、清洗装置以及制造半导体器件的方法 Pending CN108109941A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020160158658A KR20180059650A (ko) 2016-11-25 2016-11-25 세정 조성물, 세정 장치, 및 그를 이용한 반도체 소자의 제조 방법
KR10-2016-0158658 2016-11-25

Publications (1)

Publication Number Publication Date
CN108109941A true CN108109941A (zh) 2018-06-01

Family

ID=62193289

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711191153.9A Pending CN108109941A (zh) 2016-11-25 2017-11-24 清洗组合物、清洗装置以及制造半导体器件的方法

Country Status (3)

Country Link
US (1) US20180151395A1 (zh)
KR (1) KR20180059650A (zh)
CN (1) CN108109941A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109326505A (zh) * 2018-08-27 2019-02-12 上海申和热磁电子有限公司 一种提高硅片最终清洗金属程度的方法及装置
TWI810753B (zh) * 2020-12-28 2023-08-01 南韓商細美事有限公司 液體供應單元及液體供應方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190019229A (ko) * 2017-08-16 2019-02-27 세메스 주식회사 세정액 공급 유닛, 이를 포함하는 기판 처리 장치 및 세정액 공급 방법
KR102464636B1 (ko) 2018-02-07 2022-11-09 삼성전자주식회사 세정 조성물, 세정 장치, 및 그를 이용한 반도체 소자의 제조 방법
CN111435639B (zh) * 2018-12-26 2023-05-05 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4615819A (en) * 1984-02-26 1986-10-07 Lever Brothers Company Detergent gel compositions in hexagonal liquid crystal form
CN1089986A (zh) * 1992-11-04 1994-07-27 普罗格特-甘布尔公司 包含六方液晶型乙氧基化烷基硫酸盐表面活性剂的洗涤剂凝胶
US20050170981A1 (en) * 2004-01-20 2005-08-04 Samsung Electronics Co., Ltd. Cleaning composition and method of cleaning a semiconductor device using the same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6243036B2 (ja) * 2013-09-09 2017-12-06 ザ プロクター アンド ギャンブル カンパニー 液体洗浄組成物の作製方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4615819A (en) * 1984-02-26 1986-10-07 Lever Brothers Company Detergent gel compositions in hexagonal liquid crystal form
CN1089986A (zh) * 1992-11-04 1994-07-27 普罗格特-甘布尔公司 包含六方液晶型乙氧基化烷基硫酸盐表面活性剂的洗涤剂凝胶
US20050170981A1 (en) * 2004-01-20 2005-08-04 Samsung Electronics Co., Ltd. Cleaning composition and method of cleaning a semiconductor device using the same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
苏鹏权: "表面活性剂的性能与应用(Ⅵ)——表面活性剂的液晶及其应用", 《日用化学工业》 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109326505A (zh) * 2018-08-27 2019-02-12 上海申和热磁电子有限公司 一种提高硅片最终清洗金属程度的方法及装置
CN109326505B (zh) * 2018-08-27 2021-12-03 上海中欣晶圆半导体科技有限公司 一种提高硅片最终清洗金属程度的方法及装置
TWI810753B (zh) * 2020-12-28 2023-08-01 南韓商細美事有限公司 液體供應單元及液體供應方法
US11887870B2 (en) 2020-12-28 2024-01-30 Semes Co., Ltd. Apparatus and method for supplying liquid

Also Published As

Publication number Publication date
KR20180059650A (ko) 2018-06-05
US20180151395A1 (en) 2018-05-31

Similar Documents

Publication Publication Date Title
CN108109941A (zh) 清洗组合物、清洗装置以及制造半导体器件的方法
US10497571B2 (en) Device having work function metal stack and method of forming the same
CN105321818B (zh) Finfet热保护方法及其相关结构
US20160181163A1 (en) Method and Structure for Metal Gates
CN109427873A (zh) 具有粗糙阻挡层的金属栅极的结构和方法
US11855214B2 (en) Inner spacers for gate-all-around semiconductor devices
US8551837B2 (en) Methods of fabricating high-K metal gate devices
CN109427673A (zh) 半导体器件及其形成方法
US11062957B2 (en) FinFET device with wrapped-around epitaxial structure and manufacturing method thereof
US11978664B2 (en) Polishing interconnect structures in semiconductor devices
US10707316B2 (en) Structure and formation method of semiconductor device structure with gate structure
US20170110555A1 (en) Semiconductor structure with insertion layer and method for manufacturing the same
US20190172926A1 (en) Gate structure for semiconductor device
US20200388542A1 (en) Fin isolation structures of semiconductor devices
US20230268406A1 (en) Semiconductor device and manufacturing method thereof
CN109872954A (zh) 半导体元件的制造方法
US20230015572A1 (en) Liner layer for backside contacts of semiconductor devices
US20210202320A1 (en) Local Gate Height Tuning by Cmp And Dummy Gate Design
TW202230523A (zh) 半導體裝置之形成方法
US11908701B2 (en) Patterning method and manufacturing method of semiconductor device
US20230119827A1 (en) Semiconductor Device Structure And Method For Forming The Same
US11901220B2 (en) Bilayer seal material for air gaps in semiconductor devices
US20240162083A1 (en) Bilayer seal material for air gaps in semiconductor devices
US20230022780A1 (en) Method for processing semiconductor structure and method for forming word line structure
TWI673779B (zh) 半導體裝置的製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20180601

WD01 Invention patent application deemed withdrawn after publication