CN107924823A - 制造碳化硅外延基板的方法、制造碳化硅半导体装置的方法以及制造碳化硅外延基板的设备 - Google Patents

制造碳化硅外延基板的方法、制造碳化硅半导体装置的方法以及制造碳化硅外延基板的设备 Download PDF

Info

Publication number
CN107924823A
CN107924823A CN201680049960.4A CN201680049960A CN107924823A CN 107924823 A CN107924823 A CN 107924823A CN 201680049960 A CN201680049960 A CN 201680049960A CN 107924823 A CN107924823 A CN 107924823A
Authority
CN
China
Prior art keywords
silicon carbide
flow
coordinate
value
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680049960.4A
Other languages
English (en)
Other versions
CN107924823B (zh
Inventor
和田圭司
土井秀之
伊东洋典
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Electric Industries Ltd
Original Assignee
Sumitomo Electric Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Electric Industries Ltd filed Critical Sumitomo Electric Industries Ltd
Publication of CN107924823A publication Critical patent/CN107924823A/zh
Application granted granted Critical
Publication of CN107924823B publication Critical patent/CN107924823B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66053Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide
    • H01L29/66068Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

在形成碳化硅层的步骤中,当X轴代表以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的第一值且Y轴代表以sccm表示氨气的流量的第二值时,所述第一值和所述第二值落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域内。所述第一坐标为(0.05,6.5×10‑4)。所述第二坐标为(0.05,4.5×10‑3)。所述第三坐标为(0.22,1.2×10‑2)。所述第四坐标为(0.22,1.3×10‑1)。在所述形成碳化硅层的步骤之后,所述碳化硅层的载流子浓度的平均值为1×1015cm‑3以上且2×1016cm‑3以下。

Description

制造碳化硅外延基板的方法、制造碳化硅半导体装置的方法 以及制造碳化硅外延基板的设备
技术领域
本公开涉及制造碳化硅外延基板的方法、制造碳化硅半导体装置的方法以及制造所述碳化硅外延基板的设备。本申请要求基于2015年9月29日提交的日本专利申请2015-191489号的优先权,并通过引用将其全部内容并入本文中。
背景技术
日本特开2014-170891号公报(专利文献1)公开了在碳化硅单晶基板上外延生长碳化硅层的方法。
现有技术文献
专利文献
专利文献1:日本特开2014-170891号公报
发明内容
本公开的制造碳化硅外延基板的方法包括如下步骤。将碳化硅单晶基板放置在反应室中的步骤。通过向反应室供应包含硅烷、氨气和氢气的混合气体而在碳化硅单晶基板上形成碳化硅层的步骤。碳化硅单晶基板具有100mm以上的最大直径。在形成碳化硅层的步骤中,当X轴代表以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的第一值且Y轴代表以sccm表示氨气的流量的第二值时,第一值和第二值落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域内。第一坐标为(0.05,6.5×10-4)。第二坐标为(0.05,4.5×10-3)。第三坐标为(0.22,1.2×10-2)。第四坐标为(0.22,1.3×10-1)。在形成碳化硅层20之后,碳化硅层20的载流子浓度的平均值为1×1015cm-3以上且2×1016cm-3以下。
本公开的制造碳化硅外延基板的设备包括反应室、气体供应部和控制部。反应室构造为能够加热碳化硅单晶基板。气体供应部构造为能够向反应室供应包含硅烷、氨气和氢气的混合气体。控制部构造为能够控制从气体供应部供应到反应室的混合气体的流量。控制部构造为能够控制硅烷的流量、氨气的流量和氢气的流量,使得当X轴代表以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的第一值且Y轴代表以sccm表示氨气的流量的第二值时,第一值和第二值落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域内。第一坐标为(0.05,6.5×10-4)。第二坐标为(0.05,4.5×10-3)。第三坐标为(0.22,1.2×10-2)。第四坐标为(0.22,1.3×10-1)。
附图说明
图1为示出本实施方案的制造碳化硅外延基板的设备的构造的局部示意剖视图。
图2显示了在本实施方案的制造碳化硅外延基板的方法中SiH4流量/H2流量(%)与NH3流量(sccm)之间的关系。
图3为示意性显示本实施方案的制造碳化硅外延基板的方法的流程图。
图4为显示本实施方案的制造碳化硅外延基板的方法的第一步骤的示意剖视图。
图5为显示本实施方案的制造碳化硅外延基板的方法的第二步骤的示意剖视图。
图6为示意性显示本实施方案的制造碳化硅半导体装置的方法的流程图。
图7为显示本实施方案的制造碳化硅半导体装置的方法的第一步骤的示意剖视图。
图8为显示本实施方案的制造碳化硅半导体装置的方法的第二步骤的示意剖视图。
图9为显示本实施方案的制造碳化硅半导体装置的方法的第三步骤的示意剖视图。
图10显示了各个评价样品在制造碳化硅外延基板的方法中的SiH4流量/H2流量(%)与NH3流量(sccm)之间的关系。
具体实施方式
[本公开实施方案的概述]
首先将对本公开的实施方案进行说明。在下面的说明中,相同或相应的元件被赋予相同的附图标记,并且不重复进行说明。关于本说明书中的晶体学指标,个别取向用[]表示,集合取向用<>表示,并且个别面用()表示,且集合面用{}表示。晶体学负指数通常通过将“-”(横杠)置于数字之上来表示;然而,在本说明书中,通过在数字之前加负号表示晶体学负指数。
(1)本公开的制造碳化硅外延基板100的方法包含如下步骤。将碳化硅单晶基板10放置在反应室201中的步骤。通过向反应室201供应包含硅烷、氨气和氢气的混合气体而在碳化硅单晶基板10上形成碳化硅层20的步骤。碳化硅单晶基板10具有100mm以上的最大直径。在形成碳化硅层的步骤中,当X轴代表以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的第一值且Y轴代表以sccm表示氨气的流量的第二值时,第一值和第二值落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域内。第一坐标为(0.05,6.5×10-4)。第二坐标为(0.05,4.5×10-3)。第三坐标为(0.22,1.2×10-2)。第四坐标为(0.22,1.3×10-1)。在形成碳化硅层20的步骤之后,碳化硅层20的载流子浓度的平均值为1×1015cm-3以上且2×1016cm-3以下。需要说明的是,流量的单位“sccm(标准cc/分钟)”表示标准条件(0℃,101.3kPa)下的流量“cc/分钟”。
用于制造碳化硅半导体装置的碳化硅外延基板需要在保持平均载流子浓度落在碳化硅半导体装置所需的特定范围内的同时,实现载流子浓度的优异的面内均匀性和碳化硅层表面的优异的平坦性。近年来,除了实现上述特性之外,还要求在高速下生长碳化硅层。
然而,如果简单地增加碳化硅层的生长速率,则碳化硅层表面的平坦性可能劣化。而且,为了保持碳化硅层表面的优异的平坦性,碳化硅层的平均载流子浓度可能落在功率器件所要求的范围之外。换而言之,在保持碳化硅层的平均载流子浓度落在碳化硅半导体装置所需的特定范围内的同时,实现碳化硅层的高速生长、碳化硅层表面的优异的平坦性以及载流子浓度的优异的面内均匀性是非常困难的。本发明人对于制造满足上述要求的碳化硅外延基板的方法进行了勤奋研究,结果获得了如下知识,并且发现了本公开的一个实施方案。
具体地,已经发现,满足上述要求的碳化硅外延基板能够通过如下而实现:使用硅烷作为原料气体,使用氢气作为载气,并使用氨气作为掺杂剂气体;以及控制硅烷流量/氢气流量和氨气流量落在一定范围内。具体地,当X轴代表以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的第一值,且Y轴代表以sccm表示氨气的流量的第二值时,控制硅烷的流量、氢气的流量和氨气的流量,使得第一值和第二值落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域内。因此,能够在提高碳化硅层表面的平坦性和载流子浓度的面内均匀性的同时,实现碳化硅层的高速生长。
(2)在根据(1)所述的制造碳化硅外延基板100的方法中,反应室201可以包括在碳化硅单晶基板10上方的第一加热区域213和位于第一加热区域213上游的第二加热区域214。在形成碳化硅层20的步骤中,第二加热区域214可以具有氨气的分解温度以上的温度。由此,能够在碳化硅单晶基板的上游将氨气热分解,由此能够提高载流子浓度的面内均匀性。
(3)在根据(2)所述的制造碳化硅外延基板100的方法中,第二加热区域214在混合气体的流动方向上具有60mm以上的长度。由此,氨气能够在宽的区域内热分解,因此能够提高载流子浓度的面内均匀性。
(4)根据本公开的制造碳化硅半导体装置300的方法包含如下步骤。准备通过(1)~(3)中任一项所述的方法制造的碳化硅外延基板的步骤。对所述碳化硅外延基板进行加工的步骤。
(5)根据本公开的制造碳化硅外延基板100的设备200包括反应室201、气体供应部235和控制部245。反应室201构造为能够加热碳化硅单晶基板10。气体供应部235构造为能够向反应室201供应包含硅烷、氨气和氢气的混合气体。控制部245构造为能够控制从气体供应部235供应到反应室201的混合气体的流量。控制部245构造为能够控制硅烷的流量、氨气的流量和氢气的流量,使得当X轴代表以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的第一值且Y轴代表以sccm表示氨气的流量的第二值时,第一值和第二值落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域内。第一坐标为(0.05,6.5×10-4)。第二坐标为(0.05,4.5×10-3)。第三坐标为(0.22,1.2×10-2)。第四坐标为(0.22,1.3×10-1)。由此,能够在保持碳化硅层的平均载流子浓度为1×1015cm-3以上且2×1016cm-3以下的同时,实现碳化硅层的高速生长、碳化硅层表面的优异的平坦性和载流子浓度的优异的面内均匀性。
(6)在根据(5)所述的制造碳化硅外延基板100的设备200中,反应室201可以包括在放置碳化硅单晶基板10的区域的上方的第一加热区域213和位于第一加热区域213上游的第二加热区域214。第二加热区域214可以构造为能够被加热到氨气的分解温度以上的温度。由此,能够在碳化硅单晶基板的上游将氨气热分解,因此能够提高载流子浓度的面内均匀性。
(7)在根据(6)所述的制造碳化硅外延基板100的设备200中,第二加热区域214在所述混合气体的流动方向上可以具有60mm以上的长度。由此,氨气能够在宽的区域内热分解,因此能够提高载流子浓度的面内均匀性。
本公开的实施方案的详情
下面将对本公开的一个实施方案(下文中称作“本实施方案”)进行说明。然而,本实施方案不限于这些。
(碳化硅外延基板的制造设备)
下面将对本实施方案的碳化硅外延基板100的制造设备200的构造进行说明。
如图1所示,制造设备200例如为卧式热壁CVD(化学气相沉积)设备。制造设备200主要包括反应室201、气体供应部235、控制部245、加热元件203、石英管204、热绝缘体205和感应加热线圈206。
加热元件203例如具有圆柱形状。反应室201在加热元件203的内部形成。加热元件203例如由石墨构成。热绝缘体205包围加热元件203的外周。热绝缘体205以与石英管204的内周面接触的方式设置在石英管204内部。感应加热线圈206例如沿着石英管204的外周面卷绕。感应加热线圈206构造为能够通过外部电源(未显示)被供应交流电。相应地,加热元件203被感应加热。结果,反应室201被加热元件203加热。
反应室201是由加热元件203包围的空间。在反应室201中,放置碳化硅单晶基板10。反应室201构造为能够加热碳化硅单晶基板10。碳化硅单晶基板具有100mm以上的最大直径。反应室201设置有保持碳化硅单晶基板10的基座板210。基座板210构造为能够绕旋转轴212旋转。
制造设备200还包括气体入口207和气体出口208。气体出口208连接到真空泵(未显示)。图1中的箭头表示气体的流动。气体被从气体入口207引入反应室201,并从气体出口208排出。反应室201中的压力根据供应气体量和排出气体量之间的平衡来进行调节。
制造设备200还可以包括位于气体入口207和加热元件203之间的加热部211。加热部211位于加热元件203的上游。加热元件203例如可以构造为被加热至约1100℃~约1350℃。
气体供应部235构造为能够向反应室201供应包含硅烷、氨气、和含有氢和碳原子的气体的混合气体。具体地,气体供应部235可以包括第一气体供应部231、第二气体供应部232、第三气体供应部233和载气供应部234。
第一气体供应部231构造为能够供应包含碳原子的第一气体。第一气体供应部231例如为填充有第一气体的气瓶。第一气体例如为丙烷(C3H8)气体。第一气体例如可以为甲烷(CH4)气体、乙烷(C2H6)气体、乙炔(C2H2)气体等。
第二气体供应部232构造为能够供应包含硅烷气体的第二气体。第二气体供应部232例如为填充有第二气体的气瓶。第二气体例如为硅烷(SiH4)气体。第二气体可以为硅烷气体和硅烷以外的气体的混合气体。
第三气体供应部233构造为能够供应包含氨气的第三气体。例如,第三气体供应部233为填充有第三气体的气瓶。第三气体为包含N(氮原子)的掺杂气体。氨气比具有三键的氮气更容易热分解。通过使用氨气,能够期待提高载流子浓度的面内均匀性。
例如,载气供应部234构造为能够供应诸如氢气的载气。载气供应部234例如为填充有氢气的气瓶。
控制部245构造为能够控制从气体供应部235向反应室201供应的混合气体的流量。具体地,控制部245可以包括第一气体流量控制部241、第二气体流量控制部242、第三气体流量控制部243和载气流量控制部244。各个控制部可以例如为MFC(质量流量控制部)。控制部245设置在气体供应部235与气体入口207之间。换而言之,控制部245设置于在气体供应部235与气体入口207之间进行连接的流动路径中。
在制造设备200中,反应室201包括:在放置碳化硅单晶基板10的区域的上方的第一加热区域213;以及位于第一加热区域213上游的第二加热区域214。如图1所示,第二加热区域214为在混合气体的流动方向(反应室201的轴向方向)上从热绝缘体205与加热元件203之间的上游边界向放置碳化硅单晶基板10的区域的上游端部延伸的区域。第二加热区域214与第一加热区域213之间的边界部分可以为设置在基座板210中的凹部的上游侧表面。第一加热区域213的下游端部可以为热绝缘体205与加热元件203之间的下游边界。
在反应室201的轴向方向上,可以改变感应加热线圈206的卷绕密度。卷绕密度[卷绕数/m]为在设备的轴向方向上每单位长度的线圈的卷绕数。例如,为了有效地在上游侧将氨气热分解,在第二加热区域214中的上游侧的感应加热线圈206的卷绕密度比下游侧的感应加热线圈206的卷绕密度高。
第二加热区域214可以构造为能够被加热到氨气的分解温度以上的温度。氨气的分解温度例如为500℃。例如,能够使用辐射温度计来测定第二加热区域214的温度。构成第二加热区域214的加热元件203的部分的温度例如为1580℃。在混合气体的流动方向上,第二加热区域214的长度222可以为60mm以上,可以为70mm以上,并且可以为80mm以上,并且优选为100mm以下。当长度222大于100mm时,氨气分解过多,结果载流子浓度的面内均匀性可能劣化。在混合气体的流动方向上,第一加热区域213的长度221可以大于第二加热区域214的长度222。
如图2所示,X轴代表以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的值(第一值),而Y轴代表以sccm表示氨气的流量的值(第二值)。控制部245构造为能够控制硅烷的流量、氨气的流量和氢气的流量以使得第一值(%)和第二值(sccm)落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域(在图2中由阴影表示的区域)内。第一坐标(X1,Y1)为(0.05,6.5×10-4)。第二坐标(X2,Y2)为(0.05,4.5×10-3)。第三坐标(X3,Y3)为(0.22,1.2×10-2)。第四坐标(X4,Y4)为(0.22,1.3×10-1)。
控制部245例如包括载气流量控制部244、第二气体流量控制部242和第三气体流量控制部243。载气流量控制部244构造为能够将载气(氢气)的流量控制为100slm。第二气体流量控制部242构造为能够将第二气体(硅烷气体)的流量控制为150sccm。第三气体流量控制部243构造为能够将第三气体(氨气)的流量控制为1.1×10-2sccm。在这种情况下,以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的值为0.15%。因此,表示以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的值的值(第一值)和以sccm表示氨气的流量的值(第二值)落在图2中由阴影表示的区域内。
(制造碳化硅外延基板的方法)
接下来,下面对本实施方案的制造碳化硅外延基板的方法进行说明。
首先,实施将碳化硅单晶基板放置在反应室中的步骤(S1:图3)。例如,通过升华法制造具有6H多型的碳化硅单晶。接下来,例如通过使用线锯对碳化硅单晶进行切割来准备碳化硅单晶基板10(参见图4)。碳化硅单晶基板10具有第一主表面41和第一主表面41相反侧的第二主表面42。碳化硅单晶的多型例如为4H-SiC。4H-SiC在电子迁移率、介电强度等方面比其他多型态更优异。碳化硅单晶基板10例如包括诸如氮的n型杂质。碳化硅单晶基板10的导电类型例如为n型。
例如,第一主表面41为{0001}面或相对于{0001}面以8°以下的角度倾斜的面。具体地,第一主表面41可以为(0001)面或相对于(0001)面以8°以下的角度倾斜的面,或者可以为(000-1)面或相对于(000-1)面以8°以下的角度倾斜的面。当第一主表面41相对于{0001}面倾斜时,第一主表面41的法线的倾斜方向例如为<11-20>方向。相对于{0001}面的倾斜角(偏角)可以为1°以上或者可以为2°以上。偏角可以为7°以下,可以为6°以下,或者可以为4°以下。
碳化硅单晶基板10的第一主表面41的最大直径(直径)为100mm以上。直径可以为150mm以上,可以为200mm以上,或者可以为250mm以上。尽管直径的上限没有特别限制,但是例如直径的上限可以为300mm。接下来,将碳化硅单晶基板10放置在反应室201中。如图1所示,将碳化硅单晶基板10放置在基座板210的凹部中。
接下来,实施在碳化硅单晶基板上形成碳化硅层的步骤(S2:图3)。具体地,通过使用上述制造设备200在碳化硅单晶基板10上进行外延生长来形成碳化硅层20。例如,在反应室201的压力从大气压下降到约1×10-6Pa之后,开始升高碳化硅单晶基板10的温度。在温度升高期间,用作载气的氢气(H2)从载气供应部234引入到反应室201中。氢气的流量由载气流量控制部244进行调节。
在碳化硅单晶基板10的温度达到例如约1600℃之后,向反应室201供应原料气体、掺杂剂气体和载气。具体地,向反应室201供应包含硅烷、氨气、氢气和丙烷的混合气体,由此将这些气体热分解。因此,在碳化硅单晶基板10上形成碳化硅层20。混合气体的C/Si比例如可以为1.0。
如图2所示,X轴代表以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的值(第一值),而Y轴代表以sccm表示氨气的流量的值(第二值)。在形成碳化硅层的步骤中,第一值和第二值落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域(在图2中由阴影表示的区域)内。第一坐标(X1,Y1)为(0.05,6.5×10-4)。第二坐标(X2,Y2)为(0.05,4.5×10-3)。第三坐标(X3,Y3)为(0.22,1.2×10-2)。第四坐标(X4,Y4)为(0.22,1.3×10-1)。
例如,使用载气流量控制部244将供应到反应室201的载气(氢气)的流量调节为100slm。使用第二气体流量控制部242将供应到反应室201的第二气体(硅烷气体)的流量调节为150sccm。使用第三气体流量控制部243将第三气体(氨气)的流量调节为1.1×10- 2sccm。在这种情况下,以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的值为0.15%。因此,以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的值(第一值)和以sccm表示氨气的流量的值(第二值)落在由图2中由阴影表示的区域内。
氨气的流量(sccm)可以为6.5×10-4以上,可以为4.5×10-3以上,可以为1.1×10-2以上,且可以为1.2×10-2以上。例如,氨气的流量(sccm)可以为1.3×10-1以下。以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的值(%)可以为0.05以上或可以为0.15以上。例如,以百分比表示通过将硅烷的流量除以氢气的流量而获得的值的值(%)可以为0.22以下。硅烷的流量例如可以为30sccm以上,并且可以为200sccm以下。氢气的流量例如可以为80slm以上,并且可以为140slm以下。
碳化硅层20的生长速率可以为8μm/小时以上,可以为15μm/小时以上,可以为25μm/小时以上,或者可以为33μm/小时以上。碳化硅层20的生长速率可以为50μm/小时以下。碳化硅层20的生长速率可以通过氢气的流量对硅烷的流量之比来确定。由于硅烷是原料气体,所以随着硅烷的流量越高,碳化硅层的生长速率越高。另一方面,由于氢气具有蚀刻碳化硅的特性,所以随着氢气的流量越高,碳化硅层的生长速率越低。
如上所述,反应室201可以包括:在碳化硅单晶基板10上方的第一加热区域213;以及位于第一加热区域213的上游的第二加热区域214。在形成碳化硅层20的步骤中,第二加热区域214可以具有氨气的分解温度以上的温度。第二加热区域214在混合气体的流动方向上可以具有60mm以上的长度。
如上所述,向反应室201供应硅烷、丙烷、氨气和氢气的混合气体,由此在碳化硅单晶基板10上形成碳化硅层20。需要说明的是,可以使用甲烷(CH4)、乙烷(C2H6)、乙炔(C2H2)等来代替丙烷。在将混合气体供应到反应室201时,碳化硅单晶基板10可以绕旋转轴212旋转。以这种方式,制造包括碳化硅单晶基板10和碳化硅层20的碳化硅外延基板100(见图5)。碳化硅层20具有与碳化硅单晶基板10接触的第四主表面44以及第四主表面44相反侧的第三主表面43。
根据通过上述方法制造的碳化硅外延基板100,改善了碳化硅层20中的载流子浓度的面内均匀性,并且能够在保持碳化硅层20的载流子浓度的平均值落在一定的浓度范围内的同时使碳化硅层20的表面的均方根偏差(Sq)减小。
具体地,在形成碳化硅层20的步骤之后,碳化硅层20的载流子浓度的平均值为1×1015cm-3以上且2×1016cm-3以下。例如,载流子浓度的面内均匀性为6%以下。载流子浓度的面内均匀性是将载流子浓度的标准偏差除以载流子浓度的平均值而获得的值的百分比表示。载流子浓度可以通过例如水银探针型C-V测量装置来测定。具体地,将一个探针放置在碳化硅层20的第三主表面43上,并将另一个探针放置在碳化硅单晶基板10的第二主表面42上。所述一个探针的面积例如为0.01cm2。在所述一个探针与所述另一个探针之间施加电压,并且测定所述一个探针与所述另一个探针之间的电容。当纵轴代表1/C2(电容的平方的倒数)并且横轴代表V(电压)时,基于测定数据的直线的倾斜度求出载流子浓度。根据所施加的电压调节载流子浓度的测定深度。在本实施方案中,在碳化硅层20的从第三主表面43朝向第二主表面42的约10μm内的区域中测定载流子浓度。
第三主表面43的均方根偏差(Sq)例如为0.4nm以下。均方根偏差(Sq)为通过将均方根粗糙度(Rq)扩展到三维而获得的参数。例如,均方根偏差(Sq)能够通过白光干涉显微镜来测定。测定均方根偏差(Sq)的区域能够为各边均为250μm的正方形区域。
(制造碳化硅半导体装置的方法)
下面对本实施方案的制造碳化硅半导体装置300的方法进行说明。
本实施方案的制造碳化硅半导体装置的方法主要包含外延基板准备步骤(S10:图6)和基板加工步骤(S20:图6)。
首先,实施外延基板准备步骤(S10:图6)。具体地,通过上述制造碳化硅外延基板的方法来准备碳化硅外延基板100(见图5)。
接下来,实施基板加工步骤(S20:图6)。具体地,通过加工碳化硅外延基板来制造碳化硅半导体装置。本文中的术语“加工”包括各种加工如离子注入、热处理、蚀刻、氧化膜形成、电极形成和切割。即,基板加工步骤可以包括如下中的至少一种加工:离子注入、热处理、蚀刻、氧化膜形成、电极形成和切割。
下面对作为示例性碳化硅半导体装置的MOSFET(金属氧化物半导体场效应晶体管)的制造方法进行说明。基板加工步骤(S20:图6)包括离子注入步骤(S21:图6)、氧化膜形成步骤(S22:图6)、电极形成步骤(S23:图6)和切割步骤(S24:图6)。
首先,实施离子注入步骤(S21:图6)。例如,将诸如铝(Al)的p型杂质注入在其上形成有具有开口的掩模(未示出)的第三主表面43。由此,形成具有p型导电型的主体区域132。接下来,例如,将诸如磷(P)的n型杂质注入到主体区域132中的预定位置。由此,形成具有n型导电型的源极区域133。接下来,在源极区域133的预定位置注入诸如铝的p型杂质。由此,形成具有p型导电型的接触区域134(见图7)。
在碳化硅层20中,主体区域132、源极区域133和接触区域134之外的部分作为漂移区域131。源极区域133通过主体区域132与漂移区域131分开。可以用在约300℃以上且约600℃以下的温度下加热的碳化硅外延基板100来实施离子注入。在离子注入之后,对碳化硅外延基板100实施激活退火。通过激活退火,注入到碳化硅层20中的杂质被激活,由此在各个区域中产生载流子。例如,激活退火可以在氩(Ar)气氛下实施。激活退火的温度例如可以为约1800℃。例如,激活退火的时间可以为约30分钟。
接下来,实施氧化膜形成步骤(S22:图6)。例如,通过在包含氧气的气氛中加热碳化硅外延基板100,在第三主表面43上形成氧化膜136(见图8)。氧化膜136例如由二氧化硅(SiO2)等构成。氧化膜136起到栅极绝缘膜的作用。热氧化处理的温度例如可以为约1300℃。热氧化处理的时间例如可以为约30分钟。
在形成氧化膜136之后,可以进一步在氮气氛中实施热处理。例如,能够在诸如一氧化氮(NO)、一氧化二氮(N2O)等的气氛中在约1100℃下热处理约1小时。此外,其后可以在氩气氛中实施热处理。例如,热处理可以在氩气氛中于约1100℃~约1500℃下实施约1小时。
接下来,实施电极形成步骤(S23:图6)。在氧化膜136上形成第一电极141。第一电极141起到栅电极的作用。通过例如CVD法形成第一电极141。第一电极141例如由含有杂质且具有导电性的多晶硅等构成。第一电极141形成在面对源极区域133和主体区域132的位置处。
接下来,以覆盖第一电极141的方式形成层间绝缘膜137。通过例如CVD法形成层间绝缘膜137。层间绝缘膜137例如由二氧化硅等构成。层间绝缘膜137以与第一电极141和氧化膜136接触的方式形成。接下来,通过蚀刻除去预定位置处的氧化膜136和层间绝缘膜137。由此,源极区域133和接触区域134从氧化膜136露出。
例如,通过溅射法在露出部分上形成第二电极142。第二电极142起到源电极的作用。第二电极142例如由钛、铝、硅等构成。在形成第二电极142之后,将第二电极142和碳化硅外延基板100例如在约900℃~约1100℃的温度下进行加热。由此,使第二电极142和碳化硅外延基板100彼此欧姆接触。接下来,以与第二电极142接触的方式形成互连层138。互连层138例如由包含铝的材料构成。
接下来,在第二主表面42上形成第三电极143。第三电极143起到漏极的作用。第三电极143例如由包含镍和硅的合金(例如NiSi等)构成。
接下来,实施切割步骤(S24:图6)。例如,沿着切割线对碳化硅外延基板100进行切割,从而将碳化硅外延基板100分成多个半导体芯片。以这种方式,制造碳化硅半导体装置300(见图9)。
在上面的说明中,在说明制造本公开的碳化硅半导体装置的方法中已经例示了MOSFET;然而,本公开的制造方法不限于此。本公开的制造方法可适用于各种碳化硅半导体装置如IGBT(绝缘栅双极型晶体管)、SBD(肖特基(Schottky)势垒二极管)、晶闸管、GTO(可关断晶闸管)和PiN二极管。
(评价)
(样品的准备)
将上述制造碳化硅外延基板的方法用于制造样品1~9的碳化硅外延基板。在制造样品1的碳化硅外延基板的步骤中,SiH4流量/H2流量为0.05%,NH3流量为6.5×10-4±20%(sccm),且碳化硅层的生长速率为8μm/小时。在制造样品2的碳化硅外延基板的步骤中,SiH4流量/H2流量为0.05%,NH3流量为4.5×10-3±20%(sccm),并且碳化硅层的生长速率为8μm/小时。在制造样品3的碳化硅外延基板的步骤中,SiH4流量/H2流量为0.22%,NH3流量为1.2×10-2±20%(sccm),并且碳化硅层的生长速率为50μm/小时。在制造样品4的碳化硅外延基板的步骤中,SiH4流量/H2流量为0.22%,NH3流量为1.3×10-1±20%(sccm),并且碳化硅层的生长速率为50μm/小时。在制造样品5的碳化硅外延基板的步骤中,SiH4流量/H2流量为0.15%,NH3流量为1.1×10-2±20%(sccm),并且碳化硅层的生长速率为33μm/小时。
在制造样品6的碳化硅外延基板的步骤中,SiH4流量/H2流量为0.03%,NH3流量为8×10-4±20%(sccm),并且碳化硅层的生长速率为约2μm/小时。在制造样品7的碳化硅外延基板的步骤中,SiH4流量/H2流量为0.37%,NH3流量为7×10-2±20%(sccm),碳化硅层的生长速率为90μm/小时。在制造样品8的碳化硅外延基板的步骤中,SiH4流量/H2流量为0.12%,NH3流量为9×10-2±20%(sccm),并且碳化硅层的生长速率为25μm/小时。在制造样品9的碳化硅外延基板的步骤中,SiH4流量/H2流量为0.12%,NH3流量为1×10-3±20%(sccm),并且碳化硅层的生长速率为25μm/小时。在制造样品1~9的各碳化硅外延基板的方法中,将H2流量设定为120slm且使SiH4流量发生改变,由此改变SiH4流量/H2流量。
(测定)
使用水银探针型C-V测量装置对样品1~9各自的碳化硅外延基板100的碳化硅层20的载流子浓度进行测定。在从第三主表面43的中心起算的半径为60mm的区域内测定载流子浓度。在通过第三主表面43的中心并平行于径向方向的直线上和在垂直于上述直线的直线上在基本等间距的多个位置处测定了载流子浓度。具体地,在如下位置处测定了载流子浓度:第三主表面43的中心;以及在径向方向上远离中心10mm、20mm、30mm、40mm、50mm和60mm的位置。测定载流子浓度的位置的总数为25个。通过将载流子浓度的标准偏差除以载流子浓度的平均值来计算载流子浓度的面内均匀性。需要说明的是,在水银侧的探针的面积例如为0.01cm2
使用白光干涉显微镜对第三主表面43的中心区域的均方根偏差(Sq)进行了测定。测定均方根偏差的区域为各边均为250μm的正方形区域。在如下位置测定了均方根偏差:第三主表面43的中心;以及在径向方向上远离中心50mm的位置。测定均方根偏差的位置的总数为2。将Sq的范围示于表1中。
(结果)
图10为在XY平面坐标上的图,其中在制造样品1~9各自的碳化硅外延基板的步骤中X轴代表SiH4/H2并且Y轴代表NH3。坐标101~109分别对应于制造样品1~9的碳化硅外延基板的方法。
如表1所示,样品1~9的碳化硅外延基板的碳化硅层中的载流子浓度的面内均匀性分别为小于6%、小于6%、小于3%、小于3%、小于2%、约7%、小于3%、小于2%和小于6%。样品1~9的碳化硅外延基板的碳化硅层的第三表面的均方根偏差(Sq)分别为0.1nm~0.4nm、0.1nm~0.4nm、0.1nm~0.4nm、0.1nm~0.4nm、0.1nm~0.4nm、0.1nm~0.4nm、大于0.8nm、0.1nm~0.4nm和0.1nm~0.4nm。样品1~9的碳化硅外延基板的碳化硅层中的平均载流子浓度分别为1×1015cm-3、2×1016cm-3、1×1015cm-3、2×1016cm-3、3×1015cm-3、3×1015cm-3、3×1015cm-3、大于3×1016cm-3和小于1×1015cm-3
如表1所示,在样品1~5的各个碳化硅外延基板中,平均载流子浓度为1×1015cm-3以上且2×1016cm-3以下,载流子浓度均匀性的值为6%以下,生长速率为8μm/小时以上,且均方根偏差(Sq)为0.4nm以下。在样品6的碳化硅外延基板的制造条件下,生长速率为2μm/小时,由此不能实现高速生长。此外,在样品6的碳化硅外延基板中,载流子浓度的面内均匀性的值为约7%,且载流子浓度在面内不够均匀。在样品7的碳化硅外延基板中,均方根偏差(Sq)大于0.8nm且平坦性不够高。在样品8的碳化硅外延基板中,平均载流子浓度变得大于2×1016cm-3,由此不能达到功率器件中所需的平均载流子浓度的范围。在样品9的碳化硅外延基板中,平均载流子浓度变得小于1×1015cm-3,由此不能达到功率器件中所需的平均载流子浓度的范围。
鉴于上述结果,在样品1~5的各碳化硅外延基板中,在保持平均载流子浓度为1×1015cm-3以上且2×1016cm-3以下的同时可以实现优异的载流子浓度的面内均匀性、高生长速率和优异的平坦性。换而言之,已经证明,通过在制造碳化硅外延基板的步骤中以使得以百分比表示用硅烷的流量除以氢气的流量而获得的值的值(第一值)和以sccm表示氨气的流量的值(第二值)落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域(由图2中的阴影表示的区域)内的方式控制硅烷的流量、氢气的流量和氨气的流量,可以制造具有优异的载流子浓度的面内均匀性、高生长速率和优异的平坦性的碳化硅外延基板。
本文中公开的实施方案在任何方面都是说明性的而非限制性的。本发明的范围由权利要求的范围限定,而不是由上述实施方案限定,并且旨在包括在与权利要求请求保护的范围等同的范围和含义内的任何变体。
标号说明
10:碳化硅单晶基板;20:碳化硅层;41:第一主表面;42:第二主表面;43:第三主表面;44:第四主表面;100:碳化硅外延基板;131:漂移区域;132:主体区域;133:源区域;134:接触区域;136:氧化膜;137:层间绝缘膜;138:互连层;141:第一电极;142:第二电极;143:第三电极;200:制造设备;201:反应室;203:加热元件;204:石英管;205:热绝缘体;206:感应加热线圈;207:气体入口;208:气体出口;210:基座板;211:加热部;212:旋转轴;213:第一加热区域;214:第二加热区域;221,222:长度;231:第一气体供应部;232:第二气体供应部;233:第三气体供应部;234:载气供应部;235:气体供应部;241:第一气体流量控制部;242:第二气体流量控制部;243:第三气体流量控制部;244:载气流量控制部;245:控制部;300:碳化硅半导体装置。

Claims (7)

1.一种制造碳化硅外延基板的方法,所述方法包含:
将碳化硅单晶基板放置在反应室中的步骤;以及
通过向所述反应室供应包含硅烷、氨气和氢气的混合气体而在所述碳化硅单晶基板上形成碳化硅层的步骤,
所述碳化硅单晶基板具有100mm以上的最大直径,
在所述形成碳化硅层的步骤中,当X轴代表以百分比表示通过将所述硅烷的流量除以所述氢气的流量而获得的值的第一值且Y轴代表以sccm表示所述氨气的流量的第二值时,所述第一值和所述第二值落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域内,
所述第一坐标为(0.05,6.5×10-4),
所述第二坐标为(0.05,4.5×10-3),
所述第三坐标为(0.22,1.2×10-2),
所述第四坐标为(0.22,1.3×10-1),
在所述形成碳化硅层的步骤之后,所述碳化硅层的载流子浓度的平均值为1×1015cm-3以上且2×1016cm-3以下。
2.根据权利要求1所述的制造碳化硅外延基板的方法,其中,
所述反应室包括在所述碳化硅单晶基板上方的第一加热区域和位于所述第一加热区域上游的第二加热区域,且
在所述形成碳化硅层的步骤中,所述第二加热区域具有所述氨气的分解温度以上的温度。
3.根据权利要求2所述的制造碳化硅外延基板的方法,其中,
所述第二加热区域在所述混合气体的流动方向上具有60mm以上的长度。
4.一种制造碳化硅半导体装置的方法,所述方法包含:
准备通过权利要求1~3中任一项所述的方法制造的所述碳化硅外延基板的步骤;和
对所述碳化硅外延基板进行加工的步骤。
5.一种制造碳化硅外延基板的设备,所述设备包含:
反应室,所述反应室构造为能够加热碳化硅单晶基板;
气体供应部,所述气体供应部构造为能够向所述反应室供应包含硅烷、氨气和氢气的混合气体;和
控制部,所述控制部构造为能够控制从所述气体供应部供应到所述反应室的所述混合气体的流量,
所述控制部构造为能够控制所述硅烷的流量、所述氨气的流量和所述氢气的流量,使得当X轴代表以百分比表示通过将所述硅烷的流量除以所述氢气的流量而获得的值的第一值且Y轴代表以sccm表示所述氨气的流量的第二值时,所述第一值和所述第二值落在由XY平面坐标中的第一坐标、第二坐标、第三坐标和第四坐标包围的四边形区域内,
所述第一坐标为(0.05,6.5×10-4),
所述第二坐标为(0.05,4.5×10-3),
所述第三坐标为(0.22,1.2×10-2),
所述第四坐标为(0.22,1.3×10-1)。
6.根据权利要求5所述的制造碳化硅外延基板的设备,其中,
所述反应室包括在放置所述碳化硅单晶基板的区域的上方的第一加热区域和位于所述第一加热区域上游的第二加热区域,且
所述第二加热区域构造为能够被加热到所述氨气的分解温度以上的温度。
7.根据权利要求6所述的制造碳化硅外延基板的设备,其中,
所述第二加热区域在所述混合气体的流动方向上具有60mm以上的长度。
CN201680049960.4A 2015-09-29 2016-08-02 制造碳化硅外延基板的方法、制造碳化硅半导体装置的方法以及制造碳化硅外延基板的设备 Active CN107924823B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2015191489 2015-09-29
JP2015-191489 2015-09-29
PCT/JP2016/072625 WO2017056691A1 (ja) 2015-09-29 2016-08-02 炭化珪素エピタキシャル基板の製造方法、炭化珪素半導体装置の製造方法および炭化珪素エピタキシャル基板の製造装置

Publications (2)

Publication Number Publication Date
CN107924823A true CN107924823A (zh) 2018-04-17
CN107924823B CN107924823B (zh) 2021-09-28

Family

ID=58427377

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680049960.4A Active CN107924823B (zh) 2015-09-29 2016-08-02 制造碳化硅外延基板的方法、制造碳化硅半导体装置的方法以及制造碳化硅外延基板的设备

Country Status (5)

Country Link
US (1) US10697086B2 (zh)
JP (1) JP2017085169A (zh)
CN (1) CN107924823B (zh)
DE (1) DE112016004430T5 (zh)
WO (1) WO2017056691A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110707160A (zh) * 2019-10-11 2020-01-17 湖南红太阳光电科技有限公司 一种管式直接PECVD制备太阳电池SiC减反射膜的方法
CN113166969A (zh) * 2018-11-22 2021-07-23 艾伯纳工业炉公司 晶体生长设备

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7115084B2 (ja) * 2018-07-10 2022-08-09 住友電気工業株式会社 炭化珪素エピタキシャル基板の製造方法および炭化珪素半導体装置の製造方法
JP7131146B2 (ja) * 2018-07-10 2022-09-06 住友電気工業株式会社 炭化珪素エピタキシャル基板の製造方法および炭化珪素半導体装置の製造方法
US12020927B2 (en) 2018-08-21 2024-06-25 Sumitomo Electric Industries, Ltd. Method for manufacturing silicon carbide epitaxial substrate and method for manufacturing silicon carbide semiconductor device
WO2020039745A1 (ja) * 2018-08-21 2020-02-27 住友電気工業株式会社 炭化珪素エピタキシャル基板の製造方法および炭化珪素半導体装置の製造方法
US11373868B2 (en) 2018-12-05 2022-06-28 Sumitomo Electric Industries, Ltd. Method for manufacturing silicon carbide epitaxial substrate
JP2020126885A (ja) * 2019-02-01 2020-08-20 東京エレクトロン株式会社 成膜装置及び成膜方法
KR102283879B1 (ko) * 2021-01-14 2021-07-29 에스케이씨 주식회사 탄화규소 웨이퍼의 제조방법, 탄화규소 웨이퍼 및 웨이퍼 제조용 시스템

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005021842A2 (en) * 2003-08-28 2005-03-10 Cape Simulations, Inc. High-purity crystal growth
JP2006261612A (ja) * 2005-03-18 2006-09-28 Shikusuon:Kk 炭化珪素半導体およびその製造方法と製造装置
JP2014154666A (ja) * 2013-02-07 2014-08-25 Sumitomo Electric Ind Ltd 炭化珪素半導体基板の製造方法および炭化珪素半導体装置の製造方法
US20140252376A1 (en) * 2013-03-05 2014-09-11 Sumitomo Electric Industries, Ltd. Silicon carbide substrate, method for manufacturing same and method for manufacturing silicon carbide semiconductor device
TW201508079A (zh) * 2013-03-25 2015-03-01 Nuflare Technology Inc 成膜裝置及成膜方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3809464B2 (ja) * 1999-12-14 2006-08-16 独立行政法人理化学研究所 半導体層の形成方法
JP2014232799A (ja) * 2013-05-29 2014-12-11 住友電気工業株式会社 炭化珪素半導体基板の製造方法
JP2015191489A (ja) 2014-03-28 2015-11-02 アプリックスIpホールディングス株式会社 データ書込みシステム及びデータ書込み方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005021842A2 (en) * 2003-08-28 2005-03-10 Cape Simulations, Inc. High-purity crystal growth
JP2006261612A (ja) * 2005-03-18 2006-09-28 Shikusuon:Kk 炭化珪素半導体およびその製造方法と製造装置
JP2014154666A (ja) * 2013-02-07 2014-08-25 Sumitomo Electric Ind Ltd 炭化珪素半導体基板の製造方法および炭化珪素半導体装置の製造方法
US20140252376A1 (en) * 2013-03-05 2014-09-11 Sumitomo Electric Industries, Ltd. Silicon carbide substrate, method for manufacturing same and method for manufacturing silicon carbide semiconductor device
TW201508079A (zh) * 2013-03-25 2015-03-01 Nuflare Technology Inc 成膜裝置及成膜方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113166969A (zh) * 2018-11-22 2021-07-23 艾伯纳工业炉公司 晶体生长设备
CN110707160A (zh) * 2019-10-11 2020-01-17 湖南红太阳光电科技有限公司 一种管式直接PECVD制备太阳电池SiC减反射膜的方法
CN110707160B (zh) * 2019-10-11 2021-07-16 湖南红太阳光电科技有限公司 一种管式直接PECVD制备太阳电池SiC减反射膜的方法

Also Published As

Publication number Publication date
CN107924823B (zh) 2021-09-28
JP2017085169A (ja) 2017-05-18
US20180237942A1 (en) 2018-08-23
US10697086B2 (en) 2020-06-30
DE112016004430T5 (de) 2018-07-05
WO2017056691A1 (ja) 2017-04-06

Similar Documents

Publication Publication Date Title
CN107924823A (zh) 制造碳化硅外延基板的方法、制造碳化硅半导体装置的方法以及制造碳化硅外延基板的设备
CN207091557U (zh) 碳化硅外延衬底
CN106796886B (zh) 碳化硅半导体器件和用于制造碳化硅半导体器件的方法
US20190013198A1 (en) Silicon carbide epitaxial substrate and method for manufacturing silicon carbide semiconductor device
JP6245416B1 (ja) 炭化珪素エピタキシャルウエハの製造方法及び炭化珪素半導体装置の製造方法
CN110214362A (zh) 碳化硅外延衬底和制造碳化硅半导体器件的方法
JP7310822B2 (ja) 炭化珪素エピタキシャル基板の製造方法および炭化珪素半導体装置の製造方法
CN110214363B (zh) 碳化硅外延衬底和制造碳化硅半导体器件的方法
JP6090552B1 (ja) 炭化珪素エピタキシャル基板の製造方法、炭化珪素半導体装置の製造方法および炭化珪素エピタキシャル基板の製造装置
JP6954316B2 (ja) 炭化珪素エピタキシャル基板および炭化珪素半導体装置の製造方法
JP2015119083A (ja) 炭化珪素半導体基板および炭化珪素半導体装置ならびにそれらの製造方法
JP7371632B2 (ja) 炭化珪素エピタキシャル基板の製造方法および炭化珪素半導体装置の製造方法
JPWO2020115951A1 (ja) 炭化珪素エピタキシャル基板および炭化珪素半導体装置
JP7131146B2 (ja) 炭化珪素エピタキシャル基板の製造方法および炭化珪素半導体装置の製造方法
JP7115084B2 (ja) 炭化珪素エピタキシャル基板の製造方法および炭化珪素半導体装置の製造方法
CN112335057B (zh) 碳化硅外延衬底及碳化硅半导体器件
WO2017051611A1 (ja) 炭化珪素エピタキシャル基板の製造方法、炭化珪素半導体装置の製造方法および炭化珪素エピタキシャル基板の製造装置
CN112470255B (zh) 碳化硅外延衬底和碳化硅半导体器件的制造方法
JP2023096663A (ja) キャリア濃度の測定方法、炭化珪素エピタキシャル基板の製造方法および炭化珪素半導体装置の製造方法
JP2022172550A (ja) 炭化珪素エピタキシャル基板の製造方法および炭化珪素半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant