CN107403024A - Ic的电压降和电迁移的分析方法及计算机可读存储介质 - Google Patents

Ic的电压降和电迁移的分析方法及计算机可读存储介质 Download PDF

Info

Publication number
CN107403024A
CN107403024A CN201710259376.8A CN201710259376A CN107403024A CN 107403024 A CN107403024 A CN 107403024A CN 201710259376 A CN201710259376 A CN 201710259376A CN 107403024 A CN107403024 A CN 107403024A
Authority
CN
China
Prior art keywords
piece
block
layout
analysis method
violation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
CN201710259376.8A
Other languages
English (en)
Inventor
陈俊良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MediaTek Inc
Original Assignee
MediaTek Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MediaTek Inc filed Critical MediaTek Inc
Publication of CN107403024A publication Critical patent/CN107403024A/zh
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明实施例提供了一种IC的电压降和电迁移的分析方法及计算机可读存储介质。其中该方法包括:得到集成电路的布局,其中该布局被划分为多个块且每个块对应特定的功能;根据该多个块的功率相关信息,得到多个操作功率和多个工作温度,其中该多个块中的每个块具有单独的操作功率和单独的工作温度;以及根据每个块对应的操作功率和工作温度,来验证每个块。本发明实施例,可以进一步缩小布局的尺寸并降低设计人力和成本。

Description

IC的电压降和电迁移的分析方法及计算机可读存储介质
技术领域
本发明涉及集成电路(Integrated Circuit,IC)设计,尤其涉及一种IC的电压降和电迁移的分析方法及计算机可读存储介质。
背景技术
近年来,IC,如SLSI(Super Larger Scale Integrated Circuit,超大规模集成电路),的开发过程普遍采用CAD(Computer Assisted Design,计算机辅助设计)。根据这种基于CAD的开发过程,使用所谓的HDL(Hardware Description Language,硬件描述语言)来定义抽像的电路数据,该抽像的电路数据对应待开发的IC的功能,并且该定义的电路用来形成要安装在芯片上的具体电路结构。
在制造(或实现)IC芯片之前,首先考虑IC芯片的配置(placement)、平面规划(floor plan)以及布局面积(layout area),从而确定每个IC芯片的晶粒尺寸。一般地,晶粒尺寸将影响IC芯片的制造成本。因此本领域期望最小化IC芯片的布局面积。
发明内容
有鉴于此,本发明实施例提供了一种IC的电压降和电迁移的分析方法及计算机可读存储介质,可以进一步缩小布局的尺寸并降低设计人力和成本。
本发明实施例提供了一种集成电路的电压降和电迁移的分析方法,包括:得到该集成电路的布局,其中该布局被划分为多个块且每个块对应特定的功能;根据该多个块的功率相关信息,得到多个操作功率和多个工作温度,其中该多个块中的每个块具有单独的操作功率和单独的工作温度;以及根据该每个块对应的操作功率和工作温度,来验证该每个块。
其中,进一步包括:当验证完该每个块之后,检查在该多个块中是否存在电压降违规或者电迁移违规。
其中,进一步包括:当在该多个块中存在该电压降违规或该电迁移违规时,调整该布局。
其中,调整该布局的步骤包括:对于该多个块中的第一块,增加该第一块中的至少一条导线的宽度,其中该第一块为存在该电压降违规或该电迁移违规的块。
其中,进一步包括:根据该第一块对应的操作功率和工作温度,再次验证该第一块。
其中,进一步包括:当在该多个块中的每一个中均不存在该电压降违规或者该电迁移违规时,根据该布局制造该集成电路。
其中,根据该多个块的功率相关信息,得到多个操作功率和多个工作温度的步骤包括:根据该多个块中的每个块的功率消耗,来确定该每个块对应的操作功率和对应的工作温度。
其中,在该多个块中,不同块的对应的操作功率和工作温度不同。
其中,该多个块中,不同块的功能不同。
本发明实施例还提供了一种计算机可读存储介质,该计算机可读存储介质为非易失性的,且用于存储可由计算机执行的并且能够导致该计算机执行上述的分析方法的指令。
本发明实施例的有益效果是:
本发明实施例,由于根据每个块对应的操作功率和工作温度,来验证每个块,因此可以进一步缩小布局的尺寸并降低设计人力和成本。
附图说明
通过阅读接下来的详细描述及参考附图所做的示例可以更全面地理解本发明,其中:
图1为IC的层次化(hierarchical)设计过程的流程示意图;
图2为根据本发明一些实施例的集成电路的电压降和电迁移的分析方法的流程示意图;
图3为IC的布局的示意图;
图4为根据本发明实施例的计算机系统的示意图。
具体实施方式
以下描述为实现本发明的较佳方式。该描述仅作为说明本发明的一般原理的目的,而不应视为限制。本发明的范围可参考所附的权利要求来确定。本发明涉及集成电路的电压降(IR Drop)和电迁移(Electro Migration,EM)的分析方法,例如IC中每个块的IR降和EM的分析方法。
图1示出了IC的层次化(hierarchical)设计过程的流程图。首先,在步骤S110中,得到RTL(Register-Transfer Level,寄存器传输级)代码,该RTL代码用来描述IC执行的功能。接着,在步骤S120中,综合(synthesized)该RTL代码来产生IC的门。一般地,IC包括:多个块,并且每个块提供IC的重要功能,诸如专用处理器(如应用处理器,视频处理器,音频处理器,或者控制器),内存(如SRAM(Static Random Access Memory,静态随机存取存储器)模块),等等。另外,每个块具有对应的RTL代码,于是综合每个块的RTL代码以产生每个块的门。接着,在步骤S130中,执行配置和绕线(placement and routing)步骤以在IC的芯片区域内产生全部块的布局(layout)。例如,假设IC包括N个块,根据该N个块的RTL代码,分别产生该N个块的N个配置。如此,根据该N个块的N个配置以及不属于该N个块的门,执行芯片配置和绕线步骤并且得到布局。在一些实施例中,该布局为整个芯片布局。在一些实施例中,该布局为整个芯片布局的一部分,该部分关于IC的一些数字电路。接着,执行分析步骤,并验证该布局,以检查该布局是否违反各种约束或规则中的任何一个(步骤S140)。如果在布局中不存在违规,则根据该布局来制造(或实现)该IC(步骤S150)。如果,在该布局中存在违规,则必须修改该IC的布局以处理该违规直至没有违规存在。
在分析步骤中,根据布局,得到诸如寄生电阻和电容值等结构数据。此外,执行布局后(post-layout)仿真以确保正确的功能。布局后仿真用来通过严格地测试电路和电力总线线路的真实负载来预测IC的真实性能。根据在布局后模拟中得到的结果,可以发现一些问题,诸如过多的电力总线电压降(如IR降)和电迁移(EM),该IR降和电迁移通常在RTL模拟期间不可发现。
通常,EM指的是从IC的金属线排出离子。当流过导线的电子随机地碰撞到导线中的原子时,原子沿着电子的路径被携带,从而导致导线劣化(wire deterioration)。此外,EM导致导线逐渐变薄,以及EM可能导致导线上的电压降,并最终导致导线断线。特别地,EM是由于电流密度(电流除以金属宽度)超过阈值引起的。例如,EM通常在具有相对大电流流动的细线(高电流密度)中最显著。EM阻碍了金属导电的能力,从而降低了寿命。相应地,如果金属线的电流密度超过特定阈值,那么在IC布局的金属线中则存在EM违规。如果不能够忽视该EM违规,那么执行校正来处理该EM违规。
IR(电压)降一般指的是在电源节点(power node)处的电源电压(如Vdd)的电压差,并且一般是由电压源(提供该电源电压)和电源节点之间存在的电阻(由于寄生电阻或由于金属线中的其他器件)引起的。因此,连接至除了电源节点之外的其他节点的器件可以接收小于电源电压的端子电压(terminal voltage)。如果该端子电压小于允许的阈值电压,那么器件将不会工作在正常模式。例如,电路可能变得不可工作或者工作在更低的频率(相比于最优频率)。相应地,如果电压降超过了特定阈值电压,那么在IC布局的金属线中存在IR降违规。类似地,如果IR降违规不可以被忽视,那么执行校正来处理该IR降违规。另外,通过执行模拟可以确定该布局的每个节点处的IR降以及每条路径上流过的电流。确定的值可以用来确保设计符合各种EM和IR降要求。
在分析步骤中,在没有不可以被忽略的EM或IR降违规之后,在布局上执行DRC(Design Rule Check,设计规则检验)以确定是否违反与给定工艺相关联的设计规则。在DRC成功之后,执行LVS(Layout-Versus-Schematic,布局与原理图比较),以便于确定布局是否对应IC设计的原始原理图、电路图或RTL代码。如上所述,在完全地验证布局之后,根据该布局制造多个IC。
图2为根据本发明一些实施例的IC的IR降和EM的分析方法的流程示意图。其中图2的方法可以由能够运行EDA(Electronic Design Automation,电子设计自动化)工具的计算机来执行。首先,在步骤S210中,计算机的处理器获得IC布局,并且在GUI(GraphicalUser Interface,图形化用户界面)中显示该布局。接着,在步骤S220中,处理器根据IC的电路功能信息,将该布局划分为多个块,并且每个块对应IC的重要功能,诸如专用处理器(如应用处理器,视频处理器,音频处理器,或者控制器),内存(如SRAM模块),等等。接着,在步骤S230中,处理器根据该多个块的功率相关(power-related)信息,获得关于该多个块的多个操作功率(电压和电流)以及该多个块的多个工作温度的信息;其中,每个块具有单独的操作功率(电压和电流)和单独的工作温度。在一些实施例中,单独的操作功率和单独的工作温度为块的最大操作功率和最大工作温度。在一些实施例中,根据块的功率消耗,来确定该单独的操作功率和单独的工作温度。接着,处理器使用与验证块相对应的单独的操作功率和单独的工作温度,来对每个块进行验证(步骤S240),以便检验在验证块中是否存在IR降违规或EM违规。在一些实施例中,该多个块被同时验证。在一些实施例中,该多个块以特定的顺序被验证。如果在验证块中存在IR降违规或者EM违规,并且该违规不可被忽略,那么处理器修改该块以修复该违规(步骤S270),并且接着IC的布局被改变。在一些实施例中,处理器修改布局中的块,以增加与块中的违规相对应的导线的宽度。在一些实施例中,处理器可以增加布局的面积或者改变布局的形状,以便于修复该违规。在块被修改完并且布局的面积或形状没有改变之后,再次验证该修改后的块(步骤280、步骤S240)。如果布局的面积或形状改变了,则方法从步骤S210再次执行。相反地,如果在验证块中没有IR降违规或EM违规或者该违规可忽略,那么验收(sign off)布局(步骤S260)以执行接下来的步骤,诸如DRC或LVS。
图3为IC的布局300的示意图。在得到IC的电路功能信息之后,将布局300划分为多个块310~350(步骤S220)。在得到IC300的块310~350的功率相关信息之后,得到块310~350中每一个的操作功率(电压和电流)以及工作温度。例如,块310具有第一操作功率P1和第一工作温度T1,块320具有第二操作功率P2和第二工作温度T2,块330具有第三操作功率P3和第一工作温度T3,块340具有第四操作功率P4和第四工作温度T4,以及块350具有第五操作功率P5和第五工作温度T5。在一些实施例中,块310~350的操作功率P1~P5和工作温度T1~T5为各个块的最大操作功率和最大工作温度。一般地,可以根据块中的门的数量和门的工作频率,确定最大操作功率和最大工作温度。由于各个块中的门的数量和门的工作频率可以不相同,因此块310~350的操作功率和工作温度也可以不同。通过使用对应的功率和对应的温度来验证每个块,可以优化块的每条导线,使得每条电源线的宽度具有最小值,因此可以在布局面积方面最小化布局中的每个块。
对于传统的分析步骤,由于其使用全部块的最大操作功率和全部块的最大工作温度来验证布局中的所有块,因此每个块的电源线的宽度将由全部块的最大操作功率和全部块的最大工作温度来限定。相比于传统的分析步骤,本发明的方法使用块对应的操作功率和工作温度来验证每个块以最小化布局的尺寸并降低设计人力和成本。例如,可以优化每个块中的每条线的宽度,特别是块中的电源线。
图4示出了根据本发明实施例的计算机系统的结构示意图。该计算机系统400包括:计算机410,显示器420和用户输入端口430。其中该计算机410包括:处理器440,内存450,以及存储器460。该计算机410耦接至该显示器420和该用户输入端口430,其中该计算机410能够运行EDA工具。而且,该计算机410能够接收来自用户输入端口430的输入指令或信息(如电路功能信息和功率相关信息),以及在显示器420上显示IC的布局和布局中的块。在一个实施例中,该显示器为用于计算机410的GUI。另外,该显示器420和该用户输入端口430可以在计算机410中实现。该用户输入端口430可以为键盘、鼠标,等等。在计算机410中,存储器460可以存储OS(operating systems,操作系统)、应用程序、信息(如电路功能信息和功率相关信息)以及数据(包含应用程序所需的输入和/或应用程序产生的输出)。计算机410的处理器440可以以本公开暗示或明确描述的方式来执行一个或更多的操作(自动化地或者使用用户输入)。例如,在操作期间,处理器440可以将存储器460中的应用程序装载进内存450中,接着通过用户使用该应用程序来创建、浏览和/或编辑IC设计的配置、平面规划和物理布局。
本公开中描述的数据结构和代码可以部分地或完全地存储在计算机可读存储介质和/或硬件模块和/或硬件装置上。计算机可读存储介质可以是但不限于易失性存储器,非易失性存储器,磁和光存储器,例如磁盘驱动器,磁带,CD(光盘),DVD(数字通用盘或数字视频光盘)或现在已知或稍后开发的其他能够存储代码和/或数据的介质。在本公开中描述的硬件模块或装置的示例包括但不限于专用集成电路(Application-SpecificIntegrated Circuits,ASIC),现场可编程门阵列(Field-Programmable Gate Arrays,FPGA),专用或共享处理器和/或其他已知或以后开发的硬件模块或装置。
在本公开中描述的方法和过程可以被部分地或完全地体现为存储在计算机可读存储介质或设备中的代码和/或数据,使得当计算机系统读取并执行该代码和/或数据时,计算机系统执行相关的方法和过程。该方法和过程也可以部分或完全地体现在硬件模块或设备中,使得当硬件模块或设备被启动时,它们执行相关联的方法和过程。注意,方法和过程可以使用代码,数据和硬件模块或装置的组合来实现。
以上所述仅为本发明的较佳实施例而已,并不用以限制本发明,凡在本发明的精神和原则之内所作的任何修改、等同替换和改进等,均应包含在本发明的保护范围之内。

Claims (10)

1.一种集成电路的电压降和电迁移的分析方法,其特征在于,包括:
得到该集成电路的布局,其中该布局被划分为多个块且每个块对应特定的功能;
根据该多个块的功率相关信息,得到多个操作功率和多个工作温度,其中该多个块中的每个块具有单独的操作功率和单独的工作温度;以及
根据该每个块对应的操作功率和工作温度,来验证该每个块。
2.如权利要求1所述的分析方法,其特征在于,进一步包括:
当验证完该每个块之后,检查在该多个块中是否存在电压降违规或者电迁移违规。
3.如权利要求1所述的分析方法,其特征在于,进一步包括:
当在该多个块中存在该电压降违规或该电迁移违规时,调整该布局。
4.如权利要求3所述的分析方法,其特征在于,调整该布局的步骤包括:
对于该多个块中的第一块,增加该第一块中的至少一条导线的宽度,其中该第一块为存在该电压降违规或该电迁移违规的块。
5.如权利要求4所述的分析方法,其特征在于,进一步包括:
根据该第一块对应的操作功率和工作温度,再次验证该第一块。
6.如权利要求2所述的分析方法,其特征在于,进一步包括:
当在该多个块中的每一个中均不存在该电压降违规或者该电迁移违规时,根据该布局制造该集成电路。
7.如权利要求1所述的分析方法,其特征在于,根据该多个块的功率相关信息,得到多个操作功率和多个工作温度的步骤包括:
根据该多个块中的每个块的功率消耗,来确定该每个块对应的操作功率和对应的工作温度。
8.如权利要求6所述的分析方法,其特征在于,在该多个块中,不同块的对应的操作功率和工作温度不同。
9.如权利要求1所述的分析方法,其特征在于,该多个块中,不同块的功能不同。
10.一种计算机可读存储介质,该计算机可读存储介质为非易失性的,且用于存储可由计算机执行的并且能够导致该计算机执行权利要求1~9中任一项所述的分析方法的指令。
CN201710259376.8A 2016-04-25 2017-04-20 Ic的电压降和电迁移的分析方法及计算机可读存储介质 Withdrawn CN107403024A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662326896P 2016-04-25 2016-04-25
US62/326,896 2016-04-25
US15/438,844 2017-02-22
US15/438,844 US20170308639A1 (en) 2016-04-25 2017-02-22 Method for analyzing ir drop and electromigration of ic

Publications (1)

Publication Number Publication Date
CN107403024A true CN107403024A (zh) 2017-11-28

Family

ID=58227985

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710259376.8A Withdrawn CN107403024A (zh) 2016-04-25 2017-04-20 Ic的电压降和电迁移的分析方法及计算机可读存储介质

Country Status (4)

Country Link
US (1) US20170308639A1 (zh)
EP (1) EP3239865A1 (zh)
CN (1) CN107403024A (zh)
TW (1) TWI640883B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112115676A (zh) * 2020-09-29 2020-12-22 天津飞腾信息技术有限公司 静态电压降修复方法、装置、设备及存储介质
CN112289697A (zh) * 2020-10-20 2021-01-29 上海兆芯集成电路有限公司 验证方法
CN112749526A (zh) * 2019-10-30 2021-05-04 瑞昱半导体股份有限公司 电源轨设计方法、装置及其非瞬时计算机可读介质

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10810346B2 (en) * 2018-09-28 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Static voltage drop (SIR) violation prediction systems and methods
TWI769829B (zh) 2021-05-21 2022-07-01 崛智科技有限公司 積體電路輔助設計裝置與方法以及電性效能梯度模型建構方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060026540A1 (en) * 2004-07-29 2006-02-02 Texas Instruments Incorporated Electro-migration (EM) and Voltage (IR) Drop Analysis of Integrated Circuit (IC) Designs
US20090024969A1 (en) * 2004-01-28 2009-01-22 Rajit Chandra Semiconductor chip design having thermal awareness across multiple sub-system domains
CN103093020A (zh) * 2011-10-31 2013-05-08 台湾积体电路制造股份有限公司 访问设计规则和设计特征库的方法、系统和软件
US20150015283A1 (en) * 2013-07-10 2015-01-15 Apple Inc. Method and Apparatus for Power Glitch Detection in Integrated Circuits
CN104601019A (zh) * 2014-12-19 2015-05-06 广东美的制冷设备有限公司 智能功率模块、功率器件及其温度检测电路和方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5349542A (en) * 1992-04-02 1994-09-20 Vlsi Technology, Inc. Method for sizing widths of power busses in integrated circuits
TWI266215B (en) * 2002-08-12 2006-11-11 Silicon Integrated Sys Corp Method for analyzing power noise and method for reducing the same
JP4287294B2 (ja) * 2004-01-21 2009-07-01 株式会社東芝 自動設計方法、自動設計装置、及び半導体集積回路
US20090224356A1 (en) * 2004-01-28 2009-09-10 Rajit Chandra Method and apparatus for thermally aware design improvement
KR100593803B1 (ko) * 2004-12-06 2006-06-28 주식회사 엔타시스 반도체 집적회로의 블록배치 및 전력배선 설계방법
JP2006164132A (ja) * 2004-12-10 2006-06-22 Matsushita Electric Ind Co Ltd 半導体集積回路のネットリスト作成方法およびレイアウト設計方法
US7266797B2 (en) * 2005-05-19 2007-09-04 International Business Machines Corporation Automated and electrically robust method for placing power gating switches in voltage islands
US20090031264A1 (en) * 2007-07-24 2009-01-29 Dan Rittman System and method for finding electromigration, self heat and voltage drop violations of an integrated circuit when its design and electrical characterization are incomplete
US8667455B1 (en) * 2010-06-11 2014-03-04 Worldwide Pro Ltd. Hierarchical visualization-based analysis of integrated circuits
US8689169B2 (en) * 2010-07-24 2014-04-01 Cadence Design Systems, Inc. Method, apparatus, and article of manufacture for providing in situ, customizable information in designing electronic circuits with electrical awareness
US9208277B1 (en) * 2011-08-19 2015-12-08 Cadence Design Systems, Inc. Automated adjustment of wire connections in computer-assisted design of circuits
US8826203B2 (en) * 2012-06-18 2014-09-02 International Business Machines Corporation Automating current-aware integrated circuit and package design and optimization
US9213797B2 (en) * 2013-11-15 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method, system and computer program product for designing semiconductor device
US9665680B2 (en) * 2014-05-30 2017-05-30 Regents Of The University Of Minnesota Cell-level signal electromigration
US20150356229A1 (en) * 2014-06-09 2015-12-10 Qualcomm Incorporated Physical cell electromigration data generation
US9767240B2 (en) * 2015-11-19 2017-09-19 Globalfoundries Inc. Temperature-aware integrated circuit design methods and systems
US9791880B2 (en) * 2016-03-16 2017-10-17 Analog Devices Global Reducing voltage regulator transistor operating temperatures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090024969A1 (en) * 2004-01-28 2009-01-22 Rajit Chandra Semiconductor chip design having thermal awareness across multiple sub-system domains
US20060026540A1 (en) * 2004-07-29 2006-02-02 Texas Instruments Incorporated Electro-migration (EM) and Voltage (IR) Drop Analysis of Integrated Circuit (IC) Designs
CN103093020A (zh) * 2011-10-31 2013-05-08 台湾积体电路制造股份有限公司 访问设计规则和设计特征库的方法、系统和软件
US20150015283A1 (en) * 2013-07-10 2015-01-15 Apple Inc. Method and Apparatus for Power Glitch Detection in Integrated Circuits
CN104601019A (zh) * 2014-12-19 2015-05-06 广东美的制冷设备有限公司 智能功率模块、功率器件及其温度检测电路和方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
王东平 等: "一种基于时延和功耗双重优化目标的布局算法", 《上海交通大学学报》 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112749526A (zh) * 2019-10-30 2021-05-04 瑞昱半导体股份有限公司 电源轨设计方法、装置及其非瞬时计算机可读介质
CN112749526B (zh) * 2019-10-30 2024-05-07 瑞昱半导体股份有限公司 电源轨设计方法、装置及其非瞬时计算机可读介质
CN112115676A (zh) * 2020-09-29 2020-12-22 天津飞腾信息技术有限公司 静态电压降修复方法、装置、设备及存储介质
CN112115676B (zh) * 2020-09-29 2021-10-26 飞腾信息技术有限公司 静态电压降修复方法、装置、设备及存储介质
CN112289697A (zh) * 2020-10-20 2021-01-29 上海兆芯集成电路有限公司 验证方法

Also Published As

Publication number Publication date
US20170308639A1 (en) 2017-10-26
EP3239865A1 (en) 2017-11-01
TWI640883B (zh) 2018-11-11
TW201738789A (zh) 2017-11-01

Similar Documents

Publication Publication Date Title
CN107403024A (zh) Ic的电压降和电迁移的分析方法及计算机可读存储介质
CN107918694B (zh) 用于减少集成电路上的延迟的方法
US9785141B2 (en) Method, system, and computer program product for schematic driven, unified thermal and electromagnetic interference compliance analyses for electronic circuit designs
US9367660B2 (en) Electromigration-aware layout generation
US9767240B2 (en) Temperature-aware integrated circuit design methods and systems
US20080127020A1 (en) System and method for automatic elimination of voltage drop, also known as IR drop, violations of a mask layout block, maintaining the process design rules correctness
US9754070B2 (en) Path-based floorplan analysis
US8719752B1 (en) Hierarchical crosstalk noise analysis model generation
US9443050B2 (en) Low-voltage swing circuit modifications
US20170116367A1 (en) Electromigration-aware integrated circuit design methods and systems
US8281269B2 (en) Method of semiconductor integrated circuit device and program
US11308255B2 (en) Generation of layout including power delivery network
US20230252219A1 (en) Method and system of forming semiconductor device
US10223485B2 (en) Reliability verification based on combining voltage propagation with simulation
US8966429B2 (en) Bit slice elements utilizing through device routing
US11714117B2 (en) Automated method to check electrostatic discharge effect on a victim device
WO2009002301A1 (en) System and method for automatic elimination of voltage drop
US10049174B2 (en) Exact delay synthesis
US11087059B2 (en) Clock domain crossing verification of integrated circuit design using parameter inference
US11080450B1 (en) Calculating inductance based on a netlist
WO2022256955A1 (en) Metal-insulator-metal capacitor insertion
US20240232500A1 (en) Metal-insulator-metal capacitor insertion
US11144700B1 (en) Grouping nets to facilitate repeater insertion
US12032896B2 (en) Generation of layout including power delivery network
JP2008186229A (ja) 半導体集積回路の設計装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WW01 Invention patent application withdrawn after publication

Application publication date: 20171128

WW01 Invention patent application withdrawn after publication