CN107209293A - 使用远紫外线辐射光刻的材料、组件和方法,及其它应用 - Google Patents

使用远紫外线辐射光刻的材料、组件和方法,及其它应用 Download PDF

Info

Publication number
CN107209293A
CN107209293A CN201580064372.3A CN201580064372A CN107209293A CN 107209293 A CN107209293 A CN 107209293A CN 201580064372 A CN201580064372 A CN 201580064372A CN 107209293 A CN107209293 A CN 107209293A
Authority
CN
China
Prior art keywords
optical element
euv
wavelength
building block
nanoscale
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580064372.3A
Other languages
English (en)
Other versions
CN107209293B (zh
Inventor
苏普利亚·杰西瓦尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CN107209293A publication Critical patent/CN107209293A/zh
Application granted granted Critical
Publication of CN107209293B publication Critical patent/CN107209293B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/113Anti-reflection coatings using inorganic layer materials only
    • G02B1/115Multilayers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/002Optical elements characterised by the material of which they are made; Optical coatings for optical elements made of materials engineered to provide properties not available in nature, e.g. metamaterials
    • G02B1/005Optical elements characterised by the material of which they are made; Optical coatings for optical elements made of materials engineered to provide properties not available in nature, e.g. metamaterials made of photonic crystals or photonic band gap materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明描述了纳米结构的光子材料,以及相关的组件,用于运行在紫外的(UV),远紫外的(EUV),和/或软X光波长的设备和系统中。这样一种材料可以通过在选择的波长范围内,例如可以在特别的UV,EUV/或软X光波长或波长范围内,使用改变的纳米级特征,进行制造。这样一种材料可用于制造组件,例如镜子,透镜或其它光学器件,面板,光源,掩模,光刻胶,或其它组件,用于一些应用,例如光刻,晶圆图案形成,天文和空间应用,生物医学应用,生物技术或其它应用。

Description

使用远紫外线辐射光刻的材料、组件和方法,及其它应用
背景技术
在过去的50年里,技术进步的步伐,一直推动集成电路芯片的制造。历经数代,集成电路的复杂性和密度呈指数级增长,以实现更高的计算性能和功耗。集成电路在1960年代也许已经找到了500个晶体管在一个集成电路的芯片,但现在有几十亿相同的物理区域。因此,这种结构必须建立在这些集成电路芯片上必须在每个后续的一代越来越小。
推进至更小的特征尺寸的这一过程被称为模具收缩。事实上该模具的收缩已是一个一致的特征,使能够生产或新的和更有效的计算机处理器,存储器,以及其它设备。例如,在1985年左右,半导体生产工艺运行在1000纳米的宽度,现在可以操作的宽度为22纳米。即使是现在,技术正在朝着更小的设备,并在14纳米范围内移动。在这种方式中,越来越多的晶体管可以被压缩成相同的物理集成电路大小。
光刻系统通常用于集成电路,晶体管和纳米技术制造,作为半导体制造过程中的第一步。在光刻法中,一个物理掩模的制备表示在一个微小的电路基底上形成一个图案,通常是一个硅晶圆。光线被用于从掩模上传输图案到所述基底上。甚至相对简单的集成电路芯片可具有50或更多层,每一层具有不同的光掩模转印的图案。一个扫描器工具通常用在光刻工艺中,以提供聚焦的光到晶圆上。所述光必须充分聚焦使用光学元件于图像特征在足够小的尺度上,以实现所需的物理分辨率。这种系统的分辨率与光源的曝光波长成正比。因此,较短的波长可以提高分辨率,在制造需要实现20纳米的特征尺寸。
深紫外线光刻技术(DUVL)使用深紫外线(DUV)波长的电磁辐射(大约250纳米至120纳米)。在扫描器工具中的一组透射光学镜头和一个传输光掩模是负责管理光和聚焦至所需的分辨率和转印图案到晶圆上。远紫外线光刻技术(EUVL)使用远紫外线(EUV)波长的电磁辐射(大约120纳米到0.1纳米)。因此,光子在这些波长下具有在大约10电子伏特(eV)到12.4千电子伏特的能量范围(分别相应于124纳米和0.1纳米)。远紫外波长可被人为地通过装置产生,如等离子体和同步辐射光源。使用EUV波长的光刻技术具有潜在的优势降低特征尺寸小于20nm的器件如半导体芯片以及其它应用如聚合物太阳能电池,电子,生物技术,医疗技术,成像技术。在EUV波长,所用的材料,以形成光刻系统的组件,例如镜子,透镜,光致抗蚀剂等变得很重要。EUV扫描器系统依赖于一组反射镜和反射光掩模以转移IC芯片图案到基底,在所期望的分辨率。
EUV扫描工具可以包含多达12个或多个镜子或接口管理和集中光线。每个接口反映了光的比例和吸收或散射。用一组12个或多个反射镜,不到1%的可用的光能量实际上是通过系统传输到晶圆,和其它99%要么被吸收或分散。为了弥补这些戏剧性的水平的吸收和散射,EUV光源必须是令人难以置信的强大。但这样的高功率光源的制造和模仿是不可取的。高功率紫外光源造价昂贵,具有很高的电能消耗。此外,基本上超过99%的可用的能量被吸收在扫描仪中,光学元件容易受到热损坏和有限的寿命。
大多数材料倾向于吸收EUV辐射,因而可用于在光刻系统中使用的光学元件的材料为有限的选择。通常情况下,EUV反射镜采用熔融石英基底上覆盖有钼硅多层膜采用磁控溅射法形成。这种钼硅涂层通常达到约67%的反射率,超过30%的光被主要吸收,而且还分散。多层的制造是非常具有挑战性的,并且通常在理论上预测的反射率值(72%),在实践中没有实现。这限制了在每个光界面的反射率主要是负责扫描工具的整体能量效率差,和光传送到晶圆。
发明内容
本公开一般涉及的使用紫外线(UV),远紫外线(EUV)和软X光辐射的材料,装置,设备和方法,如在光刻(EUVL)或其它应用。更具体地,但不完全是,本公开涉及使用EUV辐射的材料和部件,用于UV,DUV,EUV和软X光应用中使用,以及制造和使用中的装置,设备,材料和部件的方法和系统。
本发明一般涉及的材料,设备,装置,和紫外线(UV)的使用方法,远紫外线(EUV)和软X光辐射,如光刻(EUVL)或其它应用程序。更特别的是,但不限制于,本公开中的UV,DUV,EUV和软X光应用涉及的材料和部件的使用,以及制造方法和使用的材料和组件在仪器,设备和系统使用EUV辐射。
本公开涉及的系统或子系统,仪器,工具和设备,其可使用任何紫外线,深紫外线,远紫外线和软X光包括材料处理系统,激光光源,成像,扫描,照明或传感系统,编辑或写作系统,监控,观察,检查或检测系统,高分辨率系统的望远镜,卫星,生物技术或遗传中的应用。
一般本申请公开涉及独立使用的光学元件或内部系统或子系统可使用任何紫外线,深紫外线,远紫外线和软X光。
所述元件可以是是一个基底,镜子,透镜,表面,窗口,刻面,过滤器,覆盖件,覆盖层,保护层,阻挡层,薄膜,涂层,内表面积,集热器,液滴发生器,分散材料,面板,波导,谐振腔,光纤,结构组成,反射元件,透射元件,一个探测器,一个相机,一个波长监控,带宽和功率监测,传感器,光掩膜,光致抗蚀剂,冷却机制,薄膜,热管理机制,光源,灯,激光,光学元件,光刻机,积分器,结构部件,光学装置,电气装置。
在某些实施方案中,本公开涉及一种能够在一个曝光系统中使用的元件,其中所述系统或子系统包括一个光源发射具有波长的光。该元件可以包括具有多个结构特征的材料。该多个结构特征可以提高该元素的反射率到大于70%的选定波长。
在另一个实施方案中,本发明涉及一种能够在一个曝光系统中使用的元件。该系统或子系统可包括一个光源,以传送具有波长的光。该元件可以包括具有多个结构特征的材料。该多个结构特征可以提高元件的传输到大于4%的选定波长。
在另一个实施方案中,本发明涉及一种能够在一个曝光系统中使用的元件。该系统或子系统可包括一个光源,以传送具有波长的光。该元件可以包括具有多个结构特征的材料。所述多个结构部件可以控制,增加或减少所选波长的电磁辐射吸收。
该光学元件具有一个整体形成的纳米结构或纳米级的功能,其与在预定波长处的电磁辐射相互作用,在某些情况下的预定波长比可见光短。纳米结构的大小可以是预定义的波长或子波长,或预定义波长的倍数的量级。该结构被配制为基本上提高光学元件的反射率或传输,或增加或减少光学元件的吸收在超出其体积对应的那个波长。这些纳米结构可以被配置在特定的图案或结构,或形状或空隙,以实现所需的光学性能在预定义的频率。精确的材料的配置可以由电磁场模拟设计,然后相应地制成。此外,工艺设计,制造和表征的光学元件被公开。基体材料,配置结构和装配技术的选择被进一步公开。
所述材料的配置也可以用一个建筑模块制造。在建筑模块工艺过程中,材料或材料的子集可被组合或堆叠以创建总体块状材料结构。例如,但不限于,金属,电介质,半导体或聚合物的三维阵列可以堆叠在任何配置中,形成一个三维疏松材料的对象,其中整体的疏松材料揭示了原始材料的多个单元的层叠结构。
在一个实施方案中,所述波长小于或等于250nm。所述多个结构部件可具有第一尺寸,其中第一尺寸基本上与波长相关联。在一个实施方案中,所述多个结构部件,包括建筑模块,纳米结构具有为250nm和0.01纳米之间的第一尺寸。所述的多个结构部件可以是一,二,或三维。所述多个结构部件可以在材料中具有周期性。周期性可能是在一,二,或三维度。所述多个结构部件可以配置成下列之一:半周期,非周期性的,准周期性的,分级的,部分渐变,对称,分形,螺旋二十四面体,瑞士蛋卷,非平面,段,重复单元,形成一种图案,或随机或半随机的顺序在材料中。所述多个结构部件可以有一个包含形状或尺寸的层,膜,球,块,金字塔,环,多孔结构,圆柱体,挂钩的形状,贝壳,自由形状,手性结构,非描述的形状,半球或段。
所述材料的选择可以包括以下的一种或多种:金属,电介质,气体,液体,合金,化合物,半导体,聚合物,有机材料,生物材料,单原子材料,单层,气凝胶,空气,碳,钼,铍,镧,碳化硼,硅,二氧化硅,二氧化钛,钌,铌,铑,金,银,铜,铂,钯,锗,锝,锶,锆,DNA,蛋白质,葫芦脲,导电氧化物,凝胶,溶胶-凝胶,金属有机骨架,石墨烯,硅树脂,锗烯,纤维素,胶束,石墨,碳纳米管,硫化钼,钼硒,O2,N2,氦,氢,氩,二氧化碳,一维材料或二维材料。所述结构部件或纳米级建筑模块可以包括一种或多种下列物质:金属,电介质,气体,液体,化合物,半导体,聚合物,有机材料,生物材料,单原子材料,单层,气凝胶,空气,碳,钼,铍,镧,碳化硼,硅,二氧化硅,二氧化钛,钌,铌,铑,金,银,铜,铂,钯,锗,锝,锶,锆,DNA,蛋白质,葫芦脲导电氧化物,凝胶,石墨烯,螺旋二十四面体,纤溶酶,硅树脂,锗烯,胶束,金属有机骨架,分子筛,膜,过滤器,石墨,碳纳米管,或硫化钼,O2,N2,氦,氢,氩,二氧化碳,真空或空隙。
在一个实施方案中,材料的材料或材料方面的一部分可以由的下列处理方法之一制造:自组装,指导组装,软模板,电铸,电镀,牺牲或支架材料,嵌段共聚合物,自下而上技术,EUV或XUV光刻,聚焦电子或离子束,纳米压印,原子力或扫描探针显微镜,两个或更多个光子光刻,激光照射,脱合金,化学蚀刻,化学表面活性剂,表面处理和原子层沉积。
所述材料结构还可以使用一个支架结构制成,其中支架结构可支持或承载建筑模块组装。在一个具体的实例中,所述元件由首先提供一种支架结构,然后将材料沉积以或围绕该支架制成。所述支架结构提供了纳米结构的基本模板,和在某些情况下形成至少部分纳米结构的,而在其它情况下,该支架是牺牲的,以使得在其去除的空隙变成至少部分纳米结构。
光学元件中的基底材料可以通过原子层沉积来沉积。原子层沉积是一个自我限制的过程,该过程中产生具有良好的化学计量,纯度高,体积密度,三维适形,低缺陷率和低表面粗糙度的极高质量的薄膜。原子层沉积可用于沉积的牺牲材料,在支架结构内和周边或在结构单元组装过程中提供替代基底或材料。
在设计过程中,模拟和制造的光学元件必须被表征或检查,以确保它达到光学性能所需水平,例如在应用程序中使用前的反射率,传输或吸收,或表面粗糙度。表征是一个具有挑战性的过程,并且必须与制造同时进行,并不可或缺的以在EUV波长处实现性能。表征调校包括扫描电子显微镜,反射计,分光光度计,光谱,光吸收,原子力显微镜,扫描探针显微镜,X光衍射,EUV光源,EUV同步,透射电子显微镜。
在某些实施方案中,本申请公开提供了一种制造材料的方法,该方法可以在波长上具有70%以上的反射率。该方法可以包括抛光主机层的步骤。在一些实施方案中,该方法可以进一步包括组装一种聚合物或支架结构的步骤。此外,该方法可以包括生长在支架结构的主层。生长层也可以通过原子层沉积来沉积。该方法还可以包括抛光主层的表面。此外,该方法可以包括除去聚合物或支架结构,使得材料的反射率是在0.1纳米和250纳米之间的波长大于70%的步骤。在某些实施方案中,该方法可以包括通过激光照射或化学蚀刻或其它清洗来平滑一个或多个层的步骤。所述聚合物或支架结构可以是一种或多种嵌段共聚物。在一个实施方案中,该方法可以进一步包括在顶部或在底部基底上施加覆盖层的步骤。
在一些实施方案中,材料或结构特征可通过下列处理方法之一进行清洗,平滑或后处理:化学蚀刻,激光辐射或加热,退火,离子蚀刻,原子层蚀刻,或蒸气退火。
附图说明
本公开内容可以更充分地理解,并结合附图进行以下详细描述,其中:
图1示出的一个Mo/Si多层叠层的反射率特性中的EUV波长相比本文所述的材料的结构的细节。该图还显示表面粗糙度对反射率的影响。
图2示出了一个三维结构的实施例,包含在EUV波长来自一种结构的结构特征以及示例性反射率曲线。
图3示出了一个使用本文描述材料的光刻掩模的实施例。
图4示出了一个使用本文所述材料的光致抗蚀剂的实施例。
图5示出了一个使用本文描述的材料制成的光学元件或表面的实施例。
图6示出了一个制造过程的实施例,利用一个聚合物模板制造本文所述的材料。
图6A是一个根据本申请发明制造一件光学元件的流程图。
图6B是一个根据本申请发明征一件光学元件的流程图。
图7示出了采用原子层沉积的一个EUV反射镜的反射率曲线。
具体实施方式
使用远紫外(EUV)辐射的光刻技术能够实现更小特征尺寸的设备制造。但是,大多数材料对远紫外光谱中的远紫外辐射有着很高的吸收能力。选择对远紫外谱的吸收能力低的自然材料往往受到限制。因此,大多数材料的高吸收能力影响了远紫外光刻(EUVL)系统的性能。举个例子,操作一个远紫外光刻系统可能需要高光学倍率。由于提高的光学倍率,该系统也可能需要一个广泛的热管理系统。
本发明描述了可以提高远紫外光刻系统性能的材料或材料结构。本发明进一步描述了这些材料的制造及这些材料在EUVL系统的元件、装置和设备中的使用。这里所描述的材料、方法和系统也可以在电磁波波长在紫外线和软性X光之间的系统中使用。
这些材料可以进一步提升非光刻系统的性能,该系统可以使用紫外线、远紫外线或者软X光波长。比如,灯和光源系统、生物学(生物鉴定和数组开发)系统、植物系统、成像和显微系统、传感器激活、荧光、量子点、天文系统、材料加工系统及原子、原子核及粒子发射辐射、加速系统、航天系统。
此处使用的紫外线辐射是波长范围大约在120纳米到400纳米之间的电磁辐射,级紫外线辐射是波长范围大约在1纳米到120纳米之间的电磁辐射,软X光辐射是波长范围大约在0.01纳米到1纳米之间的电磁辐射。所选择的波长范围可以是两个或两个以上的光子加工过程,与紫外线、远紫外线或X光范围中的激励相同。定义的一些不同之处可能存在于一般文献中,但是目标区域大致是相同的。另外,目标范围旨在围绕被定义为远紫外线辐射的辐射。在半导体光刻系统中,目标波长节点为13.5nm。
本发明还描述了将紫外线、远紫外线、远紫外线、软X光辐射应用于下面的系统、装置和方法:生物材料发展、打印和图形化、显微、材料加工、天文系统、曝光、成像和扫描系统、照明、感应、编辑或录入系统,例如激光直接写入,监控、观察、检查或者检测系统、高分辨率系统,例如望远镜,微型、生物科技或遗传应用。更具体地,这些应用可以包括三维打印、选择性生物材料图形化、生物传感器激活、脱氧核糖核酸/缩氨酸图形化、排序、量子点激活、荧光显微镜、选择性生物材料激活。
现代远紫外线扫描仪或光刻系统需要高反射镜来增强送至晶圆的光。对于一个包含多达12个或更多反射镜的系统来说,有意义的光量因为受限制的反射镜而流失。整个扫描仪系统的总效率低于1%。现有工艺水平的反射镜由钼硅分层介质膜制成,其在13.5nm节点上理论上有72%的反射率,但是实际上在制备过程中降至67%。制备工艺在界面层之间产生了至少0.6nm的表面粗糙度,使得光被分散。图1中的图形100显示了由钼硅形成的顶级的现有技术反射镜的模拟效率,包括散射效率。作为鲜明的对比,本发明提出的新的材料和结构提供了理论上有80%的反射率。初步考虑,反射率仅提高10%不能视为是显著的,但是在光刻法中需要考虑多个反射镜的使用。在这种情况下,理想反射镜的工艺水平可以提供0.3%的能量输入给扫描仪到达晶圆。作为对比,本发明公开的反射镜会超过1%。使用现在的远紫外线光源,该新光学元件能够使用相对低功率的甚至更短波长的光源。这样,显著地功率消耗、制造成本、工程技术挑战和移向更短波长的远紫外线热问题可被避免。
本发明描述了可被用于远紫外线波长应用的新的材料。该新的材料可以包括多个装置,可被用于需要运行于一个或多个电磁波长范围。在一个实施例中,结构特征的尺寸与远紫外线应用中使用的波长相比大约为同一方向。例如,结构特征可以有大约13.5nm的尺寸。在一些实施例中,这些特征可以是有着10nm至20nm顺序的尺寸的结构特征。在另一个实施例中,材料可以有0.001nm至10nm范围的结构特征。在另一个实施例中,材料可以有10nm至250nm范围的结构特征。这些特征可以被称作纳米级特征。
纳米级结构特征典型地设置有一材料以改变它的光学特性,以及中尺度的总体配置。所选择的不同光学特性对新材料的从属取决于纳米级结构的设计、种类、形状和分布。例如,球体的三维阵列,或者基底内的孔或槽的二维层,其中总的材料配置是阵列的,且纳米结构特征是球形,或其它形状元素,或槽形。典型地,这些纳米结构特征的集合形成了总的材料配置,而不是单一特征。例如,该配置可能被谨慎选择并置于能够在所选波长下提高反射率的位置,或者降低所选波长下的光学吸收能力,或者提高总的传输能力使得其高于没有纳米级特征的松散材料。本发明描述了不同种类的配置以实现目标效果,然后是实现目标结构的制备方法。典型地,模拟实验被用来理解所选波长下的所需预设配置,该波长能够实现目标光学性能。
新的材料可以包含被设计用于降低或增加应用中来自于它们松散对应物吸收能力的纳米级特征,该应用中使用紫外线或软X光波长范围。
新的材料可以包含纳米级特征,用于通过表面等离子体效应或减少光学吸收能力显著提高光的光学传递。这能够有效产生高透明材料,也可以用于一薄膜或透镜。
在一个实施例中,结构特征的尺寸可以关联紫外线波长。在其它实施例中,结构特征的尺寸可以关联软X光波长范围。例如,在一些应用中,纳米级特征可以大致与应用中使用的辐射波长相关联。该材料可以包括次波长特征,即比光波长或材料的更小的特征可以包含特征尺寸,其为光波长的积分倍数。
纳米级特征可以包括,例如,周期或半周期的、准周期的或非周期的结构、随机或重复或被重复元素。周期性结构可以是一、二或三维结构。该结构可以是层结构的一部分,或者位于基底上。基底可以是平面或非平面或自由形态的。周期性结构的例子包括纳米粒子、螺旋结构、瑞士螺旋结构的二维或者三维阵列。
纳米级特征可以是一维、二维或三维的。纳米级特征可以是任何尺寸的任何形状,例如,但不仅限于层、薄膜、球形、块状、锥形、环形、多孔结构、圆柱形、相连形状、壳形、节状或任何它们的组合。
改材料可以包括例如分级结构。例如,任何尺寸的一分层结构,其中材料内的一些层有长度、深度、厚度、周期或者重复单元,其由之前的层增加或降低。在一个实施例中,如果这些层被这样设置以产生分级的折光率,那么预设的光学响应被产生用于更为广泛的波长或角度范围。该结构可以是层结构的一部分,或者位于基底上。基底可以是平面或非平面或自由形态的。
改材料可以包括多孔材料。例如,一多孔金属结构、多空金属膜或一多孔纳米粒子,其中多孔性或三维限制降低了光学吸收能力。该纳米粒子可以是带有一金属层的二氧化硅。多孔材料也可以有多个填充率,比率在孔池村和它的墙厚度之间,以控制类似角度范围的光学特性。
在一些实施例中,降低或增加基底材料的松散密度是有益的,该基底材料用于进一步提高紫外线波长范围的光学性能。此处描述的沉积方法可以被用于提高基底材料的松散密度。纳米级结构可以被用于降低基底材料的松散密度。
额外的纳米结构特征也提供了折光率的局部改变,且光线可以在局部光学层处被反射、传递、吸收或分散。多个层可以被集合用于增强反射、传递、吸收或相干散射。
图2解释了带有空穴220的三维阵列200的实施例。材料210可以包括任何形状的沟槽或空穴220。沟槽或空穴220可以以任何尺寸被分布贯穿材料210,尺寸范围从0.01nm至微米尺寸。沟槽或空穴220可以由一液体、液化气、氮原子材料、有机材料、聚合物或真空填充。该材料可以包括膜、独立式结构或元素,或者部分支撑结构或特征,或者支撑结构。这些特征可以由结构或者部件支撑。沟槽可以是呈周期性的或随机分布。气体可以包括氧气、氢气、氦气、氮气、氩气、二氧化碳或者其它包括气体,包括非惰性气体。带有沟槽(如220)的金属球(如210)的三维周期性阵列(如200)的例子。如果系统处于真空,那么空穴也可以包括真空。图2还解释了来自包括空穴的材料的反射图表230。如图2所示,在波长约为13.5nm时,反射率230大于70%。
该材料可以进一步包括单原子材料或单层的微或纳米结构特征。单原子和单层材料的一些例子包括石墨烯、石墨、钼、硫化物、硒化钼、硅烯、锗烯和碳纳米管。单原子材料可以用于光学元件或热管理或冷却机构元件。单原子材料可以用于与其它材料结合,例如金属、电介质、半导体。它可以形成层结构、周期性结构、多维或自由形态结构的一部分,或位于基底上。
该材料可以是有机材料或生物材料。该材料可以进一步包含有机或生物材料的微米或纳米结构特征。有机材料或生物材料的例子包括脱氧核糖核酸、蛋白质或其它分子或遗传物质,其具有较低的波长吸收能力。有机材料或生物材料还可以是一牺牲材料或一软模板或支架结构。有机或生物材料可以是装入其它材料中,包括但不仅限于聚合物或介电物质或半导体。有机或生物材料可以用于光学元件或热管理或冷却机构元件。有机或生物材料可以用于与其它材料结合,例如金属、电介质、半导体。它可以形成层结构、周期性结构、多维或自由形态结构的一部分,或位于基底上。
该材料也可包含一个聚合物。该材料可以进一步包含聚合物的微米或纳米结构特征。聚合物还可以是一牺牲材料或一软模板或支架结构。在一些实施例中,聚合物可以被移除,剩下材料中的沟槽或空穴。这些沟槽或空穴可以在材料中形成结构特征。在其它实施例中,聚合物可以保留在材料内。该聚合物可以是光刻胶。该聚合物还可以通过激光或两个或两个以上光子激光工艺照射或曝光。
该材料可以包括纳米级特征,用于使用金属、半导体、合金、电介质、复合物、气体、液体或这些的组合。这些纳米级结构可以被工程设计,以通过一个或多个条带的波长降低吸收能力。金属可以包括例如金、银、铂、钼、铍、钌、铑、铌、铜、镧、铑、钯、金、银、铜、铂、钯、锗、锝、锶或锆。复合材料可以包括例如,硅、二氧化硅、碳化硼、碳、有机、生物材料、锗、聚合物或单原子的材料或单层或空穴、液体或气体或其它元素、合金或化合物,或真空。这种情况下,每种材料可以有一小量的吸收能力,正如折射率的虚数部分描述的那样,其中一个材料比另一个材料更多。
该材料可以由纳米尺寸的结构和特征,可以形成一维、二维或三维的阵列或周期性排列,例如,但不仅限于光子晶体、子晶体、超材料、手征结构或者次波长结构。阵列的特征可以被协调至使波长、光谱带宽、光子能带隙角接收率、反射率包括平均反射率(当平均高于光谱范围)、传递、吸收、散射和电磁增强因子、共振或交互模式。该结构可以提供一空穴,其降低了光线的群速度,以增强电磁感应,或者形成一波导或空穴,以确定电磁节点被增强且确定节点被禁止。传播禁止模式下,这可以被用于形成选择性或无定向镜子,带有可调峰值波长和光谱带宽特性。空穴还可以被用于提高光的转换,从红外线到紫外线,正如两个或两个以上光子加工所需的,或者一光源发射来自远红外激励的远紫外线辐射,例如一等离子体光源。该材料还可以用于降低或提高群速度,因此提高了或降低了材料内光的相对吸收能力。
该材料的纳米级特征,例如,设置为三维六角包裹阵列。三维六角包裹阵列可以包括一金属。该金属可以是例如金、银、钌、钼、硅、锗、或铂、钯或其它金属。以图2为例。
该材料的纳米级特征,例如,包括一螺旋结构。该螺旋结构可以是金属,例如,金、银、钌、钼、硅、锗或白金。
该材料的纳米级特征可以是例如使用石墨烯或钼和石墨(钼-石墨烯)。纳米级特征可以包括一石墨烯双螺旋二十四面体结构。
该材料可以位于基底上。该基底可以是硅或石英玻璃、石英、玻璃、低热膨胀基底或其它基底,例如微晶玻璃。该基底或设置于基底上的薄膜可以有一下种晶层。例如,如果一金属薄膜被沉积为多层结构的一部分,或一支架结构上,那么二氧化硅、五氧化铊、蓝宝石、钠钙玻璃或其它金属、介质、元素或化合物的一下种晶层可以被首先沉积,以帮助提高金属或多层薄膜的黏着层和薄膜质量。种晶层也可以位于基底上或在材料实施例内。
本发明还描述了用于制作材料的方法、装置和技术。使用自上而下的加工程序可制作所述EUV材料,其中,通过受控真空环境中的电沉积,材料被沉积到一个平坦的基底上。沉积的材料可具有大约5纳米或更小的厚度,以及小于波长/20的粗糙因子。优选低的粗糙因子,由于源自异常现象的米氏散射,其减少了整体反射率或材料的透射。具有足够低的粗糙度的沉积的超平材料具有挑战性。当多个材料或层状结构被使用,每种材料和每层可被分别打磨或抛光。
在某些实施例中,所述EUV材料可使用自下而上的方法来制造。在自下而上的制造方法中,可通过从结构的末端插入物质使得疏松物质可逐渐增大,从而只需要对一个表面(最顶层的外表面)进行打磨处理。所述自下而上的方法可被用于制作光刻,根据材料而在UV、EUV和软X光的波长范围内中使用。
在一个实施例中,为特定波长而被优化的材料可通过使用软模板化方法而被制作。在所述软模板化方法中,某些聚合物或牺牲材料或临时材料,但不限于此,可临时被与电沉积和其它材料沉积技术结合使用。所述牺牲材料或聚合物形成一种软模板或支架结构,一旦实际的材料就位,其可在稍后被移除。牺牲材料或临时材料可通过化学腐蚀或其它方法被除去。牺牲材料的一个例子可以是光刻胶。临时材料的另一个例子为纳米球。所述软模板化方法基于优化的材料可被用于制作光刻,以减少一种或多种波长的吸收,或者在UV、EUV和软X光中的波长范围。这些EUV材料可被进一步用于制造光刻系统的元件。图6显示了一个方法实施例,该方法用于通过使用基于软模板化的方法的聚合物制造所述材料。所述方法550可包括抛光主层的步骤。在一些实施例中,所述方法可进一步包括组装聚合物或支架结构的步骤。此外,所述方法可包括在所述支架结构上不断增加主层。所述方法也可包括抛光所述主层的表面。进一步地,所述方法可包括去除聚合性或支架结构的步骤,以便所述材料的所述反射率在0.1nm-250nm的波长区间大于70%。在一些实施例中,所述方法可包括通过激光照射或化学腐蚀来光滑化一层或多层的步骤。所述聚合性或支架结构可以是一种或多种嵌段共聚物。在一个实施例中,所述方法可进一步包括应用加盖或基底的步骤。
在软模板化方法的另一个实施例中,聚合材料或嵌段共聚合物或胶束,或金属有机骨架材料,或分子筛,或生物材料,或有机材料,或膜可被旋涂于基底上。通过随后的处理步骤,该结构最终被建成,所述随后的处理步骤涉及加热、退火、化学漂洗或沉积中的一种或多种。所述沉积技术可包括广角沉积,或者可变角度沉积或溅射,电铸,溶剂沉积,溶剂蒸气退火,超声波裂解,或原子层沉积。所述软模板化方法可被用于生产大面积的EUV材料。
所述EUV材料也可通过使用电铸或其它类似工艺被制作。在电铸过程中,一种材料,例如一种金属,通过化学方法、电学方法或磁性方法由另一种材料生成。此方法可被用于金属钼和钌的电铸,它们是不常用的电铸金属。所述电铸工艺可在UV、EUV和软X光范围内基于材料而被用于光刻加工。
已经被发现的是,具有通过使用原子层沉积而被制得的EUV材料是非常需要的。原子层沉积的类别可包括特定工艺,例如原子层沉积,原子层外延,原子层沉积,化学气相沉积,其包括:等离子体增强化学气相沉积,低压化学气相沉积,金属有机化学气相沉积或外延。原子层沉积是一种自限性过程,其生产出非常高品质的薄膜,具有良好的化学计量,纯度高,良好的体积密度,三维保形性,低缺陷率和低表面粗糙度。原子层沉积可被用于沉积牺牲材料,提供替代性基底或材料,在支架结构中或围绕支架结构,或者在构造块组装期间。
在EUV材料中,具有低表面和界面粗糙度和高保形性的材料的原子层沉积以提高效率和反射率是非常需要的。图7显示了在EUV反射率曲线700中的增加,通过由原子层沉积而制得的材料。具体地,图7列举了理论反射率曲线702,以及用于溅射703的反射率和原子层沉积704方法。
现在参见图6A,其示出了用于使用原子层沉积工艺的方法600。特别是,所述方法600旨在制造并表征在EUV波长和1nm-250nm范围内的更宽的波长中使用的光学元件。通常,方法600展示出了制造602光学元件以及表征604光学元件和材料的方法。正如方框607所示,提供了一种基底,一个预定义的纳米级结构将被布置609在其上。在某些情况下,所述纳米级结构可包括前述的支架。所述支架在一些情况下可成为最终材料构造的一部分,而在其它情况下,其可被牺牲,以便其在制造过程中被除去。由于所述纳米级结构被布置,材料将通过使用一种原子层沉积方法611被沉积。所述特定材料可以是上述任何一种,然而,钼和钌已经被发现是特别有用的。原子层沉积方法611的使用使得材料的高度均匀的厚度实现被实施为小于3nm。进一步地,所述层施用具有高度保形性,以便所述层由此产生的拓扑结构是高度展示的纳米级结构。
可选地,在牺牲支架的案例下,所述支架可被除去616。在这种情况下,仍可保持有气泡,这是因为在某些情况下可成为上述的元件的材料构造的一部分。在其它情况下,如果所述支架被使用,那么可能保持也可能变为所述材料构造的一部分。最后,所述光学元件如上所述地被抛光并加盖621。
两种材料已在EUV波长中获得高反射率方面显示出了特别的前景。钌和更显著的钼,在EUV光学元件中双双被证明作为基底是特别有效。然而,在此处所示的使用之前,这两种元件尚未通过使用原子层沉积被成功沉积,所述原子层沉积以提供对EUV反射率而言必要的改进的粗糙度和保形性的方式。然而,如下所述,借助于特别研发的前体,已经获得了这样的保形性和改进的粗糙度特性。
所述研发过程可能涉及一种或多种特定前体的新用途。该前体可以是固体、液体或气体。所述前体也可为金属,或金属有机物或纯有机物。沉积可涉及晶种过程和净化处理以去除污染物的过程。所述原子层沉积可使用一种升压处理,其中,使用一种气体以给前体鼓泡。该工具可以在沉积过程中使用等离子体。此外,所述沉积可涉及环境气体的使用。所述气体可包括:氮气、氧气、氨气、氢气。所述反应可为自限性反应。可通过原子层沉积到光学元件之上或作为光学元件的一部分而被沉积的材料的例子可包括但不限于:金属,钌,钼,锶,锆,钼氧化物,二氧化硅,硅,钽,钛以及其它化合物。用于沉积Mo的唯一的前体,包括但不限于:MoCO6,MoCl5和MoCl6,MoO3,MoCl4,MoO2C22H22Mo2O6,C16H10Mo2O6,C10H10Cl2Mo,C10H10Cl2Mo,MoF6,Si2H6。用于沉积Ru的唯一的前体包括:C10H10Ru,C7H9RuC7H9,Ru(C5(CH3)5)2,Ru3(CO)12。另外,有机前体的使用,可与上述任何一种前体联合使用,以创造真实的原子层沉积。有机前体的例子包括:二氢吡嗪。
EUV,DUV,软X光或者包含至少一种由原子层沉积而制得的元件的光学材料可被与其它材料混合组合,或者在一种或多种维度或层级结构中。例如,多层镜可含有所有的或部分的由原子层沉积制得的顶层。所述原子层沉积元件的粗糙度可为小于1nm。此外,不像其它沉积方法那样,所述原子层沉积的表面粗糙度可小于0.5nm。使用原子层沉积可能获得纵横比为1:1或更大的一个非常高水平的共形性。底层结构可以是单维或多维的,固体的或多孔的,并且可通过原子层沉积被涂层保护。
所述材料的制备可涉及一个清洗步骤,在所述沉积之前或之后。如果所述材料在一种基底上被制备,那么所述基底可在所述沉积之前或之后需要一个清洗步骤。所述清洗步骤可包括酸或碱的使用。该材料或基底可通过使用硅烷化的步骤而被制备。
所述材料构造也可由气泡成核法或有机蒸发法制得,以获得大于70%的反射率。该材料可包括母元件或化合物或合金,它们都是从其化学计量的形已改变的,或者可以是同位素。在某些情况下,该材料的堆积密度可以被改变来调整光学特性,该材料可从单个气泡或材料的收集而被形成,其以介质形式以产生全介电镜。材料或它们的底物也可在一个多维添加剂中被制作,使用具有一个或多个自由度的加工设备来制造设置。
所述EUV材料可通过使用自装配或其它类似方法而被进一步制作。在自装配中,所述材料的某些方面,例如,纳米级特性被组装在一起以形成整体大宗结构。该装配形成可为自装配或指导组装。在一个实施例中,所述特征可以通过化学的、电学的或磁性的方法保留一个给定的刚性结构。此类的一个实施例为化学极化材料。在另一个实施例中,该材料的基底可被预图案化以确保一个优选的结构或置于其上的主体材料的实施例。在另一个实施例中,所述基底可以是用有机或生物材料或化学处理的表面,以确保一个优选的或选择性的结构或置于其上的主体材料的实施例。所述自装配方法可被用于制作光刻,基于用于UV、EUV和软X光的波长范围内的材料。
所述材料也可通过使用一个折叠方法而被制作。在所述折叠方法中,所述材料或者该材料的子集可被折叠,或弯曲或铰链,以添加一个更高的层面至整体材料结构。例如,但不限于,一个金属电介质2D阵列可被折叠以形成3D分层对象,其中,整体块状材料显示出了原始材料多个单元的堆叠结构。
所述材料也可通过使用一种建筑模块的方法而被制作。在所述建筑模块的方法中,所述材料或者该材料的子集可被组装或堆叠以创建总体散装材料结构。例如但不限于:金属半导体、3D阵列可以任何构造被堆叠,以形成3D块状材料对象,其中,所述整体块状材料显示出了原始材料多个单元的堆叠结构。
例如,所述材料可通过化学蚀刻工艺而被制作。化学腐蚀剂(例如酸)也可被用于在半导体或聚合物或金属中选择性地去除材料。
在一些实施例中,所述材料可通过使用去合金方法而被制作。在此方法中,所述材料可能包括一种金属。所述金属可与另一种辅助金属混合在一起,例如通过加热/熔化工艺以形成铸块。随后,腐蚀性的酸可被用于选择性地移除所述辅助金属,例如,金或银,以获得原始材料的多孔结构。保留的结构可在原子水平形成一个均匀且光滑的表面。
所述EU材料或者该材料的任何子集或元件,可被进一步地使用激光进行抛光或光滑化。所述激光可在飞秒或皮秒范围具有脉冲持续时间。所述激光可在该制造之前或期间或之后使用。所述激光也可被用于辐照材料后加工,以抹去、拆卸、清洗或去除任何缺陷、异常或不均匀。它包括不直接参与制造过程的缺陷的去除。例如,在光掩膜上的所述材料的一个实施例中,所述光掩膜可从其制作方法的另一个部分接收缺陷颗粒,或从光刻或光源系统中的杂散离子/元件处接收缺陷颗粒。所述光掩膜可以通过激光照射处理随后被清洗干净。
在一些实施例中,所述材料的纳米级结构特征或构造块或元件可由激光进一步制造。所述激光可在所述制作之前或期间或之后被使用。所述激光方法可以是两个或多个光子过程方法的一部分。
所述材料或所述材料的任何子集或元件可通过使用具有受控浓度的化学腐蚀剂被进一步抛光或光滑化。在一个实施例中,在该材料的形成过程中,所述材料或所述材料的任何子集或元件可通过使用表面活性剂或化学处理过的表面而被进一步光滑化。所述表面活性剂之后可被除去。所述化学表面活性剂方法可被用于制作光子结构成型,以在UV,EUV和软X光范围中被使用。
所述材料或所述材料的任何子集或元件可通过使用等离子体腐蚀剂或离子蚀刻、电子蚀刻,或者在扫描电子显微镜中,或者使用原子层蚀刻而被进一步抛光或光滑化。在一个实施例中,所述材料可通过辐射、离子或在全方向蚀刻中分子轰击而被后期抛光,或者通过感应耦合等离子体(ICP)蚀刻工具而被抛光。
所述材料或所述材料的任何子集或元件或纳米级特征也可通过光刻或印刷或图形化过程而被制造。所述光刻或印刷过程例如可包括:电子束光刻,纳米压印光刻,紫外线,远紫外线或X光光刻,二维或三维光刻,立体光刻,聚焦的电子或离子束,扫描隧道显微,扫描探针光刻,原子力显微,溶胶-凝胶纳米加工,两个或更多个光子光刻,蘸笔光刻,近场光刻,激光辅助刻印,基于温度的图案化,基于激光的图案化,激光直写方法。此外,一种蚀刻或沉积或温度方法可与光刻或印刷工艺联合使用。所述光刻或印刷方法可在UV、EUV和软X光范围内被用于制作基于光刻的材料,并被用在光刻设备、系统或装置中。
在另一方面,本发明涉及包括纳米级特征的用于在选定的电磁波长范围内的材料的制造方法。所述材料可为此处所述的用于元件或装置的材料,其用于光刻或其它光学应用。所述材料也可通过使用嵌段共聚物支架方法而被制作。所述方法例如可包括:制造具有至少第一嵌段和第二嵌段的嵌段共聚物结构。所述方法可进一步包括除去所述第一嵌段,以及替换由第一嵌段所占据的所述结构的体积的至少一部分,所述第一嵌段具有金属或半导体或聚合物,电介质或单质材料。所述嵌段共聚物方法可被用于制作基于光刻的材料,用于UV,EUV和软X光的波长范围中。
所述第一嵌段例如可为选择性可降解的嵌段。所述方法可进一步包括:全部或部分地除去第二嵌段和/或除去任何额外的嵌段。所述第二嵌段和/或任何额外的嵌段可通过使用如等离子蚀刻的方法而被除去。
体积的至少一部分的替换例如可包括:电化学沉积的金属或半导体。体积的至少一部分的替换可包括:金属或半导体的电沉积或电成型。
在另一个实施例中,所述材料可通过使用瑞士卷或层压的方法而被制作。在所述瑞士卷的方法中,所述材料或所述材料的任何子集可被从一端卷起以添加更高维度到整体材料结构,以及整个材料的横截面表现为所述材料的多个构造。例如但不限于:一个金属电介质2D阵列可从一端被卷起以形成3D圆柱形物体,其中,所述圆柱形物体的垂直于轴线的横截面展现出原始材料的多个单元的叠层结构。
另一方面,本发明涉及系统或子系统的元件。所述元件可包括具有纳米级特征的设计成在在选定的电磁波长范围中至少部分反射或透射电磁辐射磁相互作用增强的材料。所述材料可为上述材料,或者在下文中所述的材料。所述材料可被置于一个元件上,或嵌入在元件内,或嵌入在辐射发射系统内或辐射发射系统内的元件内,或者在选择的波长范围内的辐射监测装置。
在一个实施例中,所述系统或子系统是一种光刻系统。所述元件可以是一个光刻系统的组件之一。例如,元件可包括但不限于:光掩膜,检测器,波长监视器,带宽或功率监视器,感应器,光致抗蚀剂,基底,冷却机构,热管理机构,光源,灯具,激光,光学元件,光刻机,集成器,结构部件,电气装置,光学装置或者包含于该系统中的任何其它部件。所述系统或子系统也可包括半导体制造装置或设备。图3显示了一种元件300(此例中为光掩膜),其可包括一种材料316。所述罩310可接收选定波长的辐射320。在一个实施例中,该材料316可以是一个如图2所示的三维阵列。在其它的实施例中,所述材料316可为此处所述的任何材料,其可以增加元件300的反射率。在一些实施例中,所述元件300的反射率对于某一选定波长可被提高到70%以上。所述波长可在0.1nm至250nm之间。所述材料316可被集成到所述罩310中,如图3所示。在一个实施例中,所述材料被夹在所述罩310的顶部和底部层之间。固定所述材料316的其它方法也可被采用。
应当注意的是,除了光刻系统,上述材料也可被用在一个生物系统、2D或3D印制或图案化系统、或材料处理系统中。这些系统也可包括可以使用EUV材料的元件,以提升性能。例如,元件可包括:光掩膜,检测器,波长监视器,带宽或功率监视器,感应器,光致抗蚀剂,基底,冷却机构,热管理机构,光源,灯具,激光,光学元件,光刻机,集成器,结构部件,或者包含于该系统中的任何其它元件或部件。在一些实施例中,所述EUV材料可被用在一个投影透镜系统中。例如,在此系统中,在选定的波长范围内,仪表可以包括多个光学元件,例如望远镜或卫星。
系统的另一个例子,其中,可被使用的EUV材料为涉及在选定电磁波长范围检测的系统中,例如,X光检测、成像和扫描系统,来自核粒子的辐射,以及加速器系统,生物系统。EUV材料也可被用在扫描和成像系统中。EUV材料也可被用在工作波长的一个或多个范围内需要减少吸收的系统中。
系统的另一个例子,其中,可被使用的EUV材料为涉及在选定电磁波长范围内检测的系统,例如一个光掩膜检测工具。
在一个实施例中,所述元件为一种光学元件。所述光学元件可包括:光学基底,镜子,透镜,表面,窗口,刻面,过滤器,覆盖元件,覆盖层,阻隔层,薄膜,涂层,内表面积,集电极,液滴发生器,内部分散材料,面板,波导,空腔,纤维,结构部件,反射元件,传输元件,检测器,摄像头,波长监视器,带宽或功率监视器,感应器,电子装置或光学装置,或者可被用在上述系统中的任何其它光学元件。
所述光学基底可以是硅,熔融二氧化硅,氟化锂,氟化钙,或氟化镁。
所述光学元件也可为既不透光也不反光,但是用于在一定区域内增加电磁相互作用。例如,它可以增强一定的电磁模式,以便辐射形成一个空腔,或者增加可用于交流的内表面积。图5显示出了光学元件500的一个实施例,其中,一种材料510被置于所述光学元件500的表面520的顶上。所述材料可用此处未示出的其它方法被固定到所述光学元件500。所述光学元件500可以接收所选波长的辐射530。在一个实施例中,所述材料510可以为如图2所示的三维阵列。在一个实施例中,所述材料510可以为任何的此处所述的材料,其能够增加所述光学元件500的反射率。在一些实施例中,所述光学元件500的反射率对于选定的波长可被增加70%以上。所述波长在0.1nm至250nm之间。所述光学元件可与此处所述的任何系统共同被使用。
该EUV材料或元件的正确表征对于其成功的运用在例如光刻工艺中是必不可少的。特别是,对于所述EUV元件获得高反射或透射效率所必须的所述纳米级结构或特征必须被揭露以用于测量,并且随后被表征的所得材料以保证在特定波长(也许模拟)中与预定义布置以及在所述EUV材料的整个表面区域的足够的均匀性相一致。然而,光学工程领域只定义了特性,当其表征纳米结构时具有有限的含义和用途。因此,需要一种确认和表征具有纳米级结构的EUV材料的新方法。
引用的EUV材料可以表征设置。所述表征设置可以测量所述材料的透射率,反射率,吸收率,折射率,散射,表面粗糙度,电阻率,均匀性,带宽,角度范围,景深,电磁强度,波长的敏感性,幅度或相位。所述表征设置可以是:椭圆计,反射计,分光光度计,X光衍射工具(XRD),X-射线光电子能谱(XPS),扫描电子显微镜,(SEM)或(TEM),同步辐射或原子力显微镜(AFM)。所述表征设置可使用一种光源或一种激光或桌顶的X光源,探测器,光掩膜检测工具,摄像头,平移或旋转台,具有一个或多个维度。所述表征设置可进行电气测量以确定电导或电阻。
表征的使用已经被发现特别有用的和必须的,当与EUV一起使用或光学元件使用构件装配或支架或分层结构或配置,其中子结构的细节在三维方向并在上表面之下是重要的。通常这种配置难于在20nm长归度的下方建造,并且在此长归度使用表征设置的可见性对产生新的材料是吃紧的。此表征步骤有助于改善制造产量,模拟的精确度并评估来自缺点和表面粗糙度的负面作用。原子力显微镜或EUV反射计的使用使EUV材料的表面粗糙度测量小于1nm,并且发现对观察下面的纳米结构正行性具有高度满意度。以此方法,扫描电子显微镜,原子力显微镜,EUV反射计或其它这种设备的使用在确定纳米结构,均方根粗度和标准偏差的设置时是有效的,并且它的同预设的配置(有时来自模拟)是一致的。只有在那时,总体材料配置可期待完成先进的光学性能。更普通的,如图6B中的表征处理中说明的那样,一个光物理学家会设计一个纳米结构652的目标图案,用于EUV材料,并且模拟654理论效率。这些结构为组合656,组合使用一个装配,和或如之前所述的沉淀工艺,它允许了高度保形的低表面粗糙度材料散置在配置中。一旦EUV材料被创建,可使用一个扫描电子显微镜,成像658实际的纳米图像,并因而整个材料配置的全部纳米结构图案的理解可被曝光。在成像后,整个配置被测量663了,也许使用一个反射计或EUV光源,以通过预定义的配置,确认光学性能和效率或传输或反射率。扫描电子显微镜,用于测量和确定纳米结构图案和设置的质量。实际的表征信息被比较661,665至模拟的特征和结构,它可以随后用于修改制造过程,以改善纳米结构特征的放置,或改善表面粗糙度,或确认特别的EUV材料遵从说明书,以便它在目标EUV波长上具有期望的反射率特征。
图4说明了一个材料-光刻胶合成物400的实施例。材料410可嵌入或中间分散入一个主材料,例如,光刻胶420。材料可改善主材料420的性能。在光刻胶的情况下,电磁相互作用的增加,例如,分散和吸收聚合物或有机材料可增加光刻胶的敏感性。
在另一个范围内,本公开涉及一个反射元件。反射元件可包含一个材料,材料具有纳米级特征,用于在选择的电磁波长范围内至少部分的反射电磁辐射。材料可以是之前或之后描述的材料。
反射元件可以是,例如一个镜片或一个光学组件。镜片可以是,例如,一个镜子,透镜,光学窗,滤光器或涂层,薄膜,膜或基片或其它光学元件。或者,反射元件可以是一个掩模的组件,或一个涂层或掩模的材料层。掩模可以是一个光刻掩模。或者,反射元件可以是一个光刻胶或一个光刻胶元件。光刻胶可以是一个影印的光刻胶。反射元件可以是,例如,一个影印设备或系统的组件或元件,例如一个EUVL系统,或一个软X光系统。
反射元件可以是,例如,一个涂层或材料层,位于镜子,光刻胶,掩模或其它组件或设备之上或之内。镜子可以熔融石英或氧化钙镜片。
反射元件可以是,例如,设置为一个光刻设备组件。反射元件可以设置为电磁辐射源设备组件。反射元件可以设置为半导体制造设备组件或其它使用UV,EUV,或软X光电磁辐射设备的组件。反射元件可以是一个UV,EUV,或X光光源的组件。
反射元件可包括一个材料,具有纳米级特征,用于在选择的电磁波长范围内部分的反射。或者,或此外,反射元件可包括一个材料,具有纳米级特征,用于基本上在选择的电磁波长范围内完全的反射。在一些实施例中,反射元件可包括一个材料,具有结构特征,用于具有大于或等于70%的反射率。
反射元件可包括一个材料,具有纳米级特征,用于在选择的电磁波长范围内部分的反射,其中材料可持续的具有大于或等于70%的反射率。
反射元件可包括一个材料,具有纳米级特征,用于在电磁波长范围内增加光谱带宽。它的一个例子可以是一个分级结构。
反射元件可包括一个材料,具有纳米级特征,用于在电磁波长范围内增加有角度的接收。它的一个例子可以是一个2D或3D对称结构。
反射元件可包括一个材料,具有纳米级特征,用于在电磁波长范围内增加平均反射比(频谱范围上综合和平均的)。
在另一个方面,本发明涉及一个传输/透明的远景。透明的元件可包括一个材料,具有纳米级特征,用于在所选的电磁波长范围内至少部分的传输(大于或等于4%)电磁辐射。材料可以是之前或之后描述的材料。透明的元件可以是,例如,一个组件或光刻设备的元件或系统,例如一个EUVL系统,或一个软X光系统,或一个生物技术,或一个材料处理系统。
透明元件可以是,例如,一个镜片或一个镜片组件。镜片可以是,例如,一个镜子,透镜,光学窗,或其它光学元件。或者,透明元件可以是一个掩模的组件,或一个涂层或掩模的材料层。掩模可以是一个光刻掩模。或者,透明元件可以是一个光刻胶或一个光刻胶元件。光刻胶可以是一个影印的光刻胶。
透明元件可以是,例如,一个涂层或材料层,位于镜子,光刻胶,掩模或其它组件或设备之上或之内。镜子可以熔融石英或氧化钙镜片。
透明元件可以是一个光刻设备组件。在一些实施例中,透明元件可以为电磁辐射源设备组件。透明元件也可以设置为半导体制造设备组件或其它使用UV,EUV,或软X光电磁辐射设备的组件。透明元件可以是一个UV,EUV,或X光光源的组件。透明元件可以是一个光学窗,一个涂层,或材料层组件,设置于光学窗之内或之上。
在其它的实施例中,材料可用于光学收集,作为部分的光学系统。系统可以是一个光刻机,或梯级和扫描系统,或具有影像还原的潜力。材料可帮助改善整体系统的效率,电效率或系统的光学效率。材料也可减少工具的整体电消耗。
在其它的实施例中,材料可用于空间,高于地面,地球外的,地基的,卫星的,空中的和基于应用的监督,或在这些应用中作为部分系统或子系统。材料可以用于日光成像,或X光成像。
材料可用于机床或材料加工工具,或切割,或分割工具。工具可以是一个高流通量的工具,每天处理高容量的样本或一个高速工具,用于例如检测,数据分析,或成像。
材料可用于组成电磁波阵面。这可以包括控制振幅,相位,相干性,角度范围,或电磁波阵面的局部折射率,在波长或子波长刻度上。
材料可用于光谱纯滤光片,其中一个或电磁波长或波长范围被传输了,并且一个或多个波长被反射和吸收了。
材料也可用于一个离子碎片滤波器或一个薄膜。并且,有时用于一个等离子源,或一个光掩膜系统。
材料可用于一个系统,系统用于分离,写入,编辑,传输,加热,切割或说明一个生物标本。例如,材料可用于一个EUV光源和系统,并用于有选择的拼接一个DNA链,或切割一个细胞,或一个基因序列。
在另一个实施例中,EUV材料可用于一个曝光系统,系统位于一个电子制造和一个定向自我装配制造系统(DSA)。在此情况下,电子在一个晶圆上,使用光刻系统和一个定向自我装配制造方法中的一个或两个制造。
在另一个方面,本发明涉及一种方法,用于完整的或部分的,制造和使用上面描述的纳米光子学材料和相关方法。
在另一个方面,本发明涉及方法,在系统中使用这样的纳米光子学材料,系统例如远紫外光刻(EUVL)或软X光光刻系统,或其它系统。
在另一个方面,本发明涉及组件,设备和系统,完整的或部分的包括上面描述的纳米光子学材料。
纳米光子学材料可包括一个周期性的一维,两维或三维结构,用于在选择的波长,例如在UV,EUV,或软X光波长,组成一个电磁场辐射的低容量吸收。
不同的附加范围,特征,和功能进一步与下列的附图一起描述。
此中描述典型的实施例用于说明不同范围,细节和装置的功能,方法的目的,以及用于检测内部是否为管道,导管和其它孔洞的系统;然而描述的实施例并不受到任何限制。显而易见,对于本领域的技术人员,在其它实施例中实施的不同的范围都落在本发明的精神和范围之内。
应当注意,此中使用的术语“典型的”意味着“作为一个例子,实例或说明使用”。任何范围,细节,功能,实施,和/或此中描述的实施例作为“典型的”未必解释为与其它的实施例相比是优选的或有利的。
远紫外线光刻是一个与其它紫外线(UV)光刻巨大的区别,例如如今普遍使用的深紫外线光刻技术。EUV辐射是被所有材料高度吸收的,并且因而EUV光刻通常在一个真空中发生。在此系统中的光学元件应当用于最小化EUV辐射的吸收,然而,这样实施起来是困难的。例如,组件比如镜子,通常吸收35-40%的入射光。迄今为止,建造的典型的预生产的EUVL系统至少包含两个聚光器多层镜,两个透射多层镜,以及一个多层对象(掩模)。既然镜子已经吸收了大约96%的可用EUV光,一个恰当的EUV光源足够照亮,以克服辐射的损失。EUV源开发以及聚焦于由激光或排放脉冲产生的等离子体。负责收集光线的镜子之间暴露等离子体,并且因而易受热破坏以及来自高能量离子和其它杂物的破坏。此关于产生EUV辐射的高能量处理的破坏限制了EUV光源用于光刻的实施。
因此,由于EUV光刻设备的这些吸收属性,已存的EUV光刻扫描单元具有较低的效率,EUV光刻设备使用传统的材料元件,例如光学器件,镜子,光学窗,掩模,光刻胶和其它元件或组件。
虽然一维结构可代表一些潜在的优点,它们也有限制。例如,钼/硅多层堆栈配置的初始模拟分析指出了,从一个具有50层的周期的90纳米的一维钼/硅多层堆栈中获得的最大反射率为在零度入射角时的理论最大值70.6%,如图1所示。在实际中,由于制造工艺和米氏散射的缺陷,反射率变的更低。
因此,在一些实施例中,一个EUV反射元件(以及相关设备)具有一维或两维或三维纳米级结构,用于在大于13.5纳米的波长范围内运行,并具有一个大约80%或更高的反射率,可制造和用于例如EUVL的应用,其中EUVL使用上面描述的技术。此外,具有相似传输属性的材料(例如EUV透明材料和相关的组件和设备)可以使用上面描述的技术类似的制造。
在其它方面,纳米结构的两维或三维材料,例如上面描述的或类似的或相同的材料,可以用于组件和设备,例如,激光,激光系统,光源,扫描器,掩模,以及抵抗材料,或在制造半导体或其它设备中其它的设备或系统。
其它的应用可包括等离子源或同步加速器辐射源或其它电磁辐射源。还有其它的应用可包括激态原子或其它激光器,例如工业激光器,X光电磁辐射设备或其它设备,用于在波长范围内,例如红外的,可见的,UV,EUV,或X光波长内,产生或使用电磁辐射。使用纳米光子材料的组件或设备也可以用于其它的应用,例如生物医学设备或其它设备或系统。
在一些实施例中,一个三维石墨烯光子晶体可以作为纳米光子学材料使用,以用于运行在UV,EUV,或X光波长的设备和系统。石墨烯是一个最近开发的材料,具有高热传导性并可以是透明的或,通过使用堆栈,层或其它的组件配置,产生反射或吸收性。类似的,在一些实施例中,碳纳米管,具有同石墨烯相同的属性,可被用于产生透明的或反射的碳纳米管材料。例如,石墨烯或碳纳米管材料可用于光刻设备,例如,一个涂层或分层材料。这些材料的高导热性使它们在应用中具有优点,应用需要透明度或反射性(例如,在UV,EUV,和/或软X光波长),伴随着高传导性的需求,高传导性产生热量(例如,设备中的高热量损耗,例如光扫描工具,晶圆制图机器,多光子设备,或其它设备或系统,其中使用了UV,EUV和/或X光辐射,例如形成一个光刻胶图案。
在另一个实施例中,一个纳米结构的材料可以制成双螺旋结构。双螺旋结构可包括,例如金(Au)和/或钼(Mo)。双螺旋结构可使用一个共聚合物技术,例如之前描述的技术。这样一个材料具有一个较低的金属密度,在其间隔内具有环境空气。例如,金属密度可以小于对应的疏松材料,例如,一个因子10或更多。
本发明的其它的实施例和修改对于本领域的技术人员观看了这些技术后,是很容易发生的。因而,本发明的保护只限于下列的权利要求,包括所有这些的实施例和修改,及其之上的说明书和附图。
应当理解,此中公开的处理和方法中,特定的顺序或步骤层级或阶段是典型方法的例子。根据设计优先级,应当理解,除非另有所指,处理中的特定的顺序或步骤层级可被重新排列,而保留在本发明的范围内。
公开的实施例的之前的描述用于使任何本领域的技术人员使用本发明。这些实施例的不同的修改对于本领域的技术人员是显而易见的,并且此中定义的通用的原则可以在不背离本发明的精神和范围内,适用于其它的实施例。因而,本发明并不用于限制显示的实施例,而在于是此中公开的原则和新颖特征同最宽的范围保持一致。
本发明并不限定于此中显示的范围,而与说明书和附图的完全范围保持一致,其中除非明确的指出,涉及单数的元件并不意味着“一个并且只有一个”,而是“一个或多个”。除非特别声明,术语“一些”是指一个或多个。一个涉及“…中的至少一个”后有条目列表的短语指任何那些条目的组合,包括单个元件。作为一个例子,“至少一个:a,b,c”是指覆盖:a;b;c;a和b;a和c;b和c;以及a,b和c。
本发明之前的描述用于使任何本领域的技术人员使用本发明。这些内容的不同的修改对于本领域的技术人员是显而易见的,并且此中定义的通用的原则可以在不背离本发明的精神和范围内,适用于其它的内容。因而,本发明并不用于限制显示的内容,而在于是此中公开的原则和新颖特征同最宽的范围保持一致。
此中使用有条件的语言,例如,“可以”,“可能”,“可”“例如”等,除非特别声明,或在文中有其它理解,通常用于传递某些实施例,包括其它实施例未包括的,某些特征,元素和/或状态。因而,这些条件语言并不通常用于以任何一个或多个实施例,或一个或多个实施例需要包括逻辑判断的方式,暗示那些特征,元件和/或状态,其中带有或不带有作者的输入或提示,无论这些特征,元件和/或状态包括或在任何实施例中执行。术语“包含”。“包括”,“具有”等是同意的,并用于包含的,在一个开放式的方式,并且不排除附加的元件,特征,行为,操作等等。并且,术语“或”用于它的包含含义(并不用于排外含义),以便在使用时,例如关联一个元素列表,术语“或”意味着一个,一些,或列表中的所有元素。此外,冠词“一”和“一个”被解释为“一个或多个”或“至少一个”,除非另有所指。
连接语句,例如短语“至少X,Y和Z中的一个”,除非另有所指,被理解为通常用于传递一个条目,术语等,可以是X,Y,或Z中的任何一个。因而,这个连接语句并未暗示某个实施例需要至少X,Y,和Z的每个都被显示。
虽然上面描述显示,描述和指出了新颖性的特征适用于不同的实施例,应当理解以设备或说明的算法的形式或细节作出的不同的省略,替换和改变,可以在不背离本发明的精神的情况下进行。因而,未有之前的描述意图暗示任何特别的特征,特性,步骤,模块或块是需要的或不可缺少的。如图将会意识到的那样,此中描述的处理可以在一个形式中具体化,形式中不提供所有的特征并受益于此中的提出,由于一些特征可以同其它的分别使用或练习。保护的范围由附属的权利要求定义,而非之前的描述。

Claims (21)

1.一种制造一个光学元件的方法,元件用于在一个0.1至250纳米的目标波长范围内是反射的和传送的,包含:
提供一个基底;
将一组纳米级建筑模块装配入一个具有预定义设置的配置或支架结构;以及
使用原子层沉淀,沉淀一个材料进入已装配的配置或支架。
2.如权利要求1所述的方法,其特征在于,所述元件用于增加或减少超出它的对应容量的吸收。
3.如权利要求1所述的方法,还包括在原子层沉淀中使用一个钌,铌或钼前体,或一个有机前体的步骤。
4.如权利要求1所述的方法,其特征在于,所述配置是一维,两维或三维的。
5.如权利要求1所述的方法,其特征在于,所述配置包含如下形状或大小,包括层状,薄膜,球形,块,金字塔形,环,多孔结构,圆柱体,连接形状,壳体,任意形状,螺旋形的,手性结构,半球形或片段。
6.如权利要求5所述的方法,其特征在于,所述建筑模块由聚合物,金属,半导体,绝缘体,气体,化合物,碳,单层材料,石墨烯,有机材料,金属有机材料制成。
7.如权利要求1所述的方法,其特征在于,所述支架结构是牺牲的,并且在去除后,材料内的孔洞形成至少部分的已装配配置。
8.如权利要求1所述的方法,其特征在于,所述材料在一个表面粗糙度小于1nm的表面上沉积,并具有足够的正形性,以曝光纳米级建筑模块。
9.如权利要求1所述的方法,还包括使用一个扫描电子显微镜或原子力显微镜,对纳米级建筑模块成像的步骤。
10.如权利要求9所述的方法,还包括比较成像的纳米级建筑模块和预定义设置的步骤。
11.如权利要求1所述的方法,其特征在于,所述目标波长小于约120nm。
12.如权利要求1所述的方法,其特征在于,所述目标波长小于约20nm。
13.一种光学元件,用于在0.1至250纳米间的目标波长,包含:
一组整体形成的纳米级建筑模块,设置在一个配置内;
一层材料,沉淀在纳米级结构之上或之内;以及
其特征在于,这层材料具有小于0.5nm的表面粗燥度。
14.如权利要求13所述的光学元件,其特征在于,所述层具有足够的正形性,以曝光纳米级建筑模块。
15.如权利要求13所述的光学元件,其特征在于,所述材料为钌,铌或钼。
16.如权利要求13所述的光学元件,还包括孔洞,孔洞显示了一个已移除的牺牲支架结构。
17.如权利要求13所述的光学元件,其特征在于,所述光学元件时反射的,并在目标波长具有大于70%的反射效率。
18.如权利要求13所述的光学元件,其特征在于,所述光学元件是传输的,并在目标波长具有大于4%的传输效率。
19.一种表征光学元件的方法,元件用于在一个0.1至250纳米的目标波长范围内是反射的和传送的,包含:
提供一个预定义的设计,用于一个纳米级建筑模块的设置,建筑模块形成了一材料配置;
提供预定义的设计的一模拟效率;
使用一个扫描电子显微镜或原子力显微镜,对光学元件成像;
使用来自成像评估的图像,识别纳米级建筑模块;
比较识别的纳米级建筑模块和预定义的设置;
在目标波长测量所述光学元件的效率;以及
比较测量的效率和模拟的效率。
20.如权利要求19所述的光学元件,其特征在于,所述光学元件时反射的,并在目标波长具有大于70%的测量的反射效率。
21.如权利要求19所述的光学元件,其特征在于,所述光学元件是传输的,并在目标波长具有大于4%的测量的传输效率。
CN201580064372.3A 2014-11-26 2015-11-25 使用远紫外线辐射光刻的材料、组件和方法,及其它应用 Active CN107209293B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462085222P 2014-11-26 2014-11-26
US62/085,222 2014-11-26
PCT/US2015/062803 WO2016086204A1 (en) 2014-11-26 2015-11-25 Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications

Publications (2)

Publication Number Publication Date
CN107209293A true CN107209293A (zh) 2017-09-26
CN107209293B CN107209293B (zh) 2021-09-03

Family

ID=56075062

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580064372.3A Active CN107209293B (zh) 2014-11-26 2015-11-25 使用远紫外线辐射光刻的材料、组件和方法,及其它应用

Country Status (7)

Country Link
EP (1) EP3224657B1 (zh)
JP (1) JP6772169B2 (zh)
KR (1) KR102527501B1 (zh)
CN (1) CN107209293B (zh)
SG (1) SG11201704226PA (zh)
TW (1) TWI687756B (zh)
WO (1) WO2016086204A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110737037A (zh) * 2018-07-18 2020-01-31 卡尔蔡司Smt有限责任公司 反射式光学元件
CN110967940A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 用于极紫外(euv)辐射源的数据匹配模块控制反馈系统的方法
CN111208059A (zh) * 2020-02-11 2020-05-29 南京信息工程大学 基于核/壳纳米周期性线阵列等离子体超材料的光纤氢气传感器
CN112882506A (zh) * 2019-11-29 2021-06-01 科德尔科股份公司 用于控制熔炼炉操作参数的智能系统
CN113093471A (zh) * 2019-12-23 2021-07-09 Imec 非营利协会 形成euvl防尘薄膜的方法
US11650361B2 (en) * 2018-12-27 2023-05-16 Viavi Solutions Inc. Optical filter

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10401723B2 (en) * 2013-06-03 2019-09-03 Asml Netherlands B.V. Patterning device
SG10202004257WA (en) 2015-11-24 2020-06-29 Harvard College Atomic layer deposition process for fabricating dielectric metasurfaces for wavelengths in the visible spectrum
CN111316138B (zh) 2017-05-24 2022-05-17 纽约市哥伦比亚大学理事会 色散工程化介电超表面的宽带消色差平坦光学部件
SG11202001717VA (en) 2017-08-31 2020-03-30 Metalenz Inc Transmissive metasurface lens integration
KR102530873B1 (ko) 2019-02-25 2023-05-09 사이머 엘엘씨 심자외 광원용 광학 요소
KR20220035971A (ko) 2019-07-26 2022-03-22 메탈렌츠 인코포레이티드 개구-메타 표면 및 하이브리드 굴절-메타 표면 이미징 시스템
KR20220079853A (ko) * 2019-10-11 2022-06-14 에이에스엠엘 네델란즈 비.브이. 투과형 디퓨저
US11927769B2 (en) 2022-03-31 2024-03-12 Metalenz, Inc. Polarization sorting metasurface microlens array device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040228967A1 (en) * 2002-07-03 2004-11-18 Roger Leung Dielectric films for narrow gap-fill applications
WO2012044522A1 (en) * 2010-09-30 2012-04-05 The Trustees Of The University Of Pennsylvania Mechanically stable nanoparticle thin film coatings and methods of producing the same
US20120229785A1 (en) * 2009-11-20 2012-09-13 Asml Netherlands B.V. Multilayer mirror, lithograpic apparatus, and methods for manufacturing a multilayer mirror and a product
US20130188245A1 (en) * 2012-01-19 2013-07-25 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
CN104037209A (zh) * 2013-03-06 2014-09-10 Sk新技术株式会社 具有均匀图案排列的纳米颗粒的单电子晶体管及其制造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040130693A1 (en) * 2002-10-31 2004-07-08 Asml Netherlands B.V. Lithographic apparatus, optical element and device manufacturing method
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
SG112034A1 (en) * 2003-11-06 2005-06-29 Asml Netherlands Bv Optical element, lithographic apparatus comprising such optical element and device manufacturing method
US7273640B2 (en) 2003-11-21 2007-09-25 Rohm And Haas Denmark Finance A/S Highly reflective optical element
DE102006013055A1 (de) * 2006-03-22 2007-09-27 Merck Patent Gmbh Gasphasen-Infiltrierung von Leuchtstoffen in das Porensystem von inversen Opalen
US7892719B2 (en) * 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
NL1036469A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby.
DE102008040964B4 (de) * 2008-08-04 2010-07-15 Carl Zeiss Smt Ag Entfernen reflektierender Schichten von EUV-Spiegeln
US20100227476A1 (en) * 2009-03-04 2010-09-09 Peck John D Atomic layer deposition processes
WO2011023454A1 (en) * 2009-08-27 2011-03-03 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
WO2011151116A1 (en) * 2010-06-03 2011-12-08 Carl Zeiss Sms Gmbh A method for determining the performance of a photolithographic mask
FR2961011B1 (fr) * 2010-06-08 2012-07-20 Commissariat Energie Atomique Materiau nanocomposite et son utilisation en opto-electronique
DE102010025033B4 (de) * 2010-06-23 2021-02-11 Carl Zeiss Smt Gmbh Verfahren zur Defekterkennung und Reparatur von EUV-Masken
JP6202798B2 (ja) * 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
JP6382180B2 (ja) * 2013-02-19 2018-08-29 株式会社ダイセル ウェハレベルレンズ用硬化性組成物、ウェハレベルレンズの製造方法及びウェハレベルレンズ、並びに光学装置
JP5758423B2 (ja) * 2013-02-26 2015-08-05 株式会社東芝 マスクレイアウトの作成方法
JP2014180837A (ja) * 2013-03-21 2014-09-29 Dainippon Printing Co Ltd ガスバリア性フィルム及びその製造方法
JP6123452B2 (ja) * 2013-04-19 2017-05-10 富士通株式会社 Ge系ナノワイヤ光素子及びその製造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040228967A1 (en) * 2002-07-03 2004-11-18 Roger Leung Dielectric films for narrow gap-fill applications
US20120229785A1 (en) * 2009-11-20 2012-09-13 Asml Netherlands B.V. Multilayer mirror, lithograpic apparatus, and methods for manufacturing a multilayer mirror and a product
WO2012044522A1 (en) * 2010-09-30 2012-04-05 The Trustees Of The University Of Pennsylvania Mechanically stable nanoparticle thin film coatings and methods of producing the same
US20130202866A1 (en) * 2010-09-30 2013-08-08 The Trustees Of The University Of Pennsylvania Mechanically stable nanoparticle thin film coatings and methods of producing the same
US20130188245A1 (en) * 2012-01-19 2013-07-25 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
CN104037209A (zh) * 2013-03-06 2014-09-10 Sk新技术株式会社 具有均匀图案排列的纳米颗粒的单电子晶体管及其制造方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110737037A (zh) * 2018-07-18 2020-01-31 卡尔蔡司Smt有限责任公司 反射式光学元件
CN110967940A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 用于极紫外(euv)辐射源的数据匹配模块控制反馈系统的方法
CN110967940B (zh) * 2018-09-28 2024-04-12 台湾积体电路制造股份有限公司 极紫外辐射源的数据匹配模块控制反馈系统的方法及装置
US11650361B2 (en) * 2018-12-27 2023-05-16 Viavi Solutions Inc. Optical filter
CN112882506A (zh) * 2019-11-29 2021-06-01 科德尔科股份公司 用于控制熔炼炉操作参数的智能系统
CN112882506B (zh) * 2019-11-29 2023-03-28 科德尔科股份公司 用于控制熔炼炉操作参数的智能系统
CN113093471A (zh) * 2019-12-23 2021-07-09 Imec 非营利协会 形成euvl防尘薄膜的方法
CN111208059A (zh) * 2020-02-11 2020-05-29 南京信息工程大学 基于核/壳纳米周期性线阵列等离子体超材料的光纤氢气传感器
CN111208059B (zh) * 2020-02-11 2022-09-30 南京信息工程大学 基于核/壳纳米周期性线阵列等离子体超材料的光纤氢气传感器

Also Published As

Publication number Publication date
EP3224657B1 (en) 2024-04-10
TW201626098A (zh) 2016-07-16
EP3224657A4 (en) 2018-09-05
EP3224657A1 (en) 2017-10-04
SG11201704226PA (en) 2017-06-29
TWI687756B (zh) 2020-03-11
CN107209293B (zh) 2021-09-03
JP2017538974A (ja) 2017-12-28
KR20170141646A (ko) 2017-12-26
WO2016086204A1 (en) 2016-06-02
JP6772169B2 (ja) 2020-10-21
KR102527501B1 (ko) 2023-05-02

Similar Documents

Publication Publication Date Title
CN107209293A (zh) 使用远紫外线辐射光刻的材料、组件和方法,及其它应用
US10838123B2 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
KR101930926B1 (ko) 리소그래피 및 다른 적용분야에서 극자외 방사선을 이용하는 재료, 성분 및 사용을 위한 방법
Liddle et al. Nanomanufacturing: a perspective
Traub et al. Advances in nanoimprint lithography
Zhang et al. Recent progress in near-field nanolithography using light interactions with colloidal particles: from nanospheres to three-dimensional nanostructures
Chang et al. From two-dimensional colloidal self-assembly to three-dimensional nanolithography
Varadan et al. Nanoscience and nanotechnology in engineering
US11874480B2 (en) Plasmonic lithography for patterning high aspect-ratio nanostructures
US10838124B2 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
Liu et al. Novel optical technologies for nanofabrication
Cui Recent advances in nanofabrication techniques and applications
Bharti et al. X-ray lithography for nanofabrication: is there a future?
EP4022394A1 (en) Fabrication of blazed diffractive optics by through-mask oxidation
US20220155672A1 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
Duan et al. Microfabrication of Two and Tree Dimensional Structures by Two-Photon Polymerization
US20220155671A1 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
RU2510632C1 (ru) Способ изготовления резистивных масок для нанолитографии
Wang et al. Ni micro-nano structures fabrication through electroplating
Williams et al. Tilted logpile photonic crystals using the LIGA technique
Phuc Efficient Fabrication Process of a Metallic Nano Dot Array on a Plastic Substrate by the Nano-Plastic Forming Assisted Thermal Dewetting Method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant