TW201626098A - 使用遠紫外線輻射光刻的材料、組件和方法,及其它應用 - Google Patents

使用遠紫外線輻射光刻的材料、組件和方法,及其它應用 Download PDF

Info

Publication number
TW201626098A
TW201626098A TW104139375A TW104139375A TW201626098A TW 201626098 A TW201626098 A TW 201626098A TW 104139375 A TW104139375 A TW 104139375A TW 104139375 A TW104139375 A TW 104139375A TW 201626098 A TW201626098 A TW 201626098A
Authority
TW
Taiwan
Prior art keywords
materials
euv
optical component
optical
nanoscale
Prior art date
Application number
TW104139375A
Other languages
English (en)
Other versions
TWI687756B (zh
Inventor
蘇普利亞 傑西瓦爾
Original Assignee
蘇普利亞 傑西瓦爾
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘇普利亞 傑西瓦爾 filed Critical 蘇普利亞 傑西瓦爾
Publication of TW201626098A publication Critical patent/TW201626098A/zh
Application granted granted Critical
Publication of TWI687756B publication Critical patent/TWI687756B/zh

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/002Optical elements characterised by the material of which they are made; Optical coatings for optical elements made of materials engineered to provide properties not available in nature, e.g. metamaterials
    • G02B1/005Optical elements characterised by the material of which they are made; Optical coatings for optical elements made of materials engineered to provide properties not available in nature, e.g. metamaterials made of photonic crystals or photonic band gap materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/113Anti-reflection coatings using inorganic layer materials only
    • G02B1/115Multilayers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明描述了奈米結構的光子材料,以及相關的組件,用於運行在紫外的(UV),遠紫外的(EUV),和/或軟X光波長的設備和系統中。這樣一種材料可以通過在選擇的波長範圍內,例如可以在特別的UV,EUV/或軟X光波長或波長範圍內,使用改變的奈米級特徵,進行製造。這樣一種材料可用於製造組件,例如鏡子,透鏡或其他光學器件,面板,光源,光罩,光刻膠,或其他組件,用於一些應用,例如光刻,晶圓圖案形成,天文和空間應用,生物醫學應用,生物技術或其他應用。

Description

使用遠紫外線輻射光刻的材料、組件和方法,及其它應用
在過去的50年裡,技術進步的步伐,一直推動集成電路晶片的製造。歷經數代,集成電路的複雜性和密度呈指數級增長,以實現更高的計算性能和功耗。集成電路在1960年代也許已經找到了500個晶體管在一個集成電路的晶片,但現在有幾十億相同的物理區域。因此,這種結構必須建立在這些集成電路晶片上必須在每個後續的一代越來越小。
推進至更小的特徵尺寸的這一過程被稱為模具收縮。事實上該模具的收縮已是一個一致的特徵,使能夠生產或新的和更有效的電腦處理器、存儲器以及其他設備。例如,在1985年左右,半導體生產工藝運行在1000奈米的寬度,現在可以操作的寬度為22奈米。即使是現在,技術正在朝著更小的設備,並在14奈米範圍內移動。在這種方式中,越來越多的晶體管(Transistor)可以被壓縮成相同的物理集成電路大小。
光刻系統通常用於集成電路,晶體管和奈米技術製造,作為半導體製造過程中的第一步。在光刻法中,一個物理光罩的製備表示在一個微小的電路基底上形成一個圖案,通常是一個矽晶圓。光線被用於從光罩上傳輸圖案到所述基底上。甚至相對簡單的集成電路晶片可具有50或更多層,每一層具有不同的光罩轉印的圖案。一個掃描儀工具通常用在光刻工藝中,以提供聚焦的光到晶圓上。所述光必須充分聚焦使用光學元件於圖像特徵在足夠小的尺度 上,以實現所需的物理分辨率。這種系統的分辨率與光源的曝光波長成正比。因此,較短的波長可以提高分辨率,在製造需要實現20奈米的特徵尺寸。
深紫外線光刻技術(DUVL)使用深紫外線(DUV)波長的電磁輻射(大約250奈米至120奈米)。在掃描儀工具中的一組透射光學鏡頭和一個傳輸光罩是負責管理光和聚焦至所需的分辨率和轉印圖案到晶圓上。遠紫外線光刻技術(EUVL)使用遠紫外線(EUV)波長的電磁輻射(大約120奈米到0.1奈米)。因此,光子在這些波長下具有在大約10電子伏特(eV)到12.4千電子伏特的能量範圍(分別相應于124奈米和0.1奈米)。遠紫外波長可被人為地通過裝置產生,如等離子體和同步輻射光源。使用EUV波長的光刻技術具有潛在的優勢降低特徵尺寸小於20nm的器件如半導體晶片以及其他應用如聚合物太陽能電池,電子,生物技術,醫療技術,成像技術。在EUV波長,所用的材料,以形成光刻系統的組件,例如鏡子,透鏡,光致抗蝕劑等變得很重要。EUV掃描儀系統依賴於一組反射鏡和反射光罩以轉移IC晶片圖案到基底,在所期望的分辨率。
EUV掃描工具可以包含多達12個或多個鏡子或接口管理和集中光線。每個接口反映了光的比例和吸收或散射。用一組12個或多個反射鏡,不到1%的可用的光能量實際上是通過系統傳輸到晶圓,和其他99%要麼被吸收或分散。為了彌補這些戲劇性的水準的吸收和散射,EUV光源必須是令人難以置信的強大。但這樣的高功率光源的製造和模仿是不可取的。高功率紫外光源造價昂貴,具有很高的電能消耗。此外,基本上超過99%的可用的能量被吸收在掃描儀中,光學元件容易受到熱損壞和有限的壽命。
大多數材料傾向於吸收EUV輻射,因而可用於在光刻系統中使用的光學元件的材料為有限的選擇。通常情況下,EUV反射鏡採用熔融石英基底上覆蓋有鉬矽多層膜採用磁控濺射法形成。這種鉬矽塗層通常達到約67%的反射率,超過30%的光被主要吸收,而且還分散。多層的製造是非常具有挑戰性的,並且通常在理論上預測的反射率值(72%),在實踐中沒有實現。這限制了在每個光接口的反射率主要是負責掃描工具的整體能量效率差,和光傳送到晶圓。
本公開一般涉及的使用紫外線(UV),遠紫外線(EUV)和軟X光輻射的材料,裝置,設備和方法,如在光刻(EUVL)或其他應用。更具體地,但不完全是,本公開涉及使用EUV輻射的材料和部件,用於UV,DUV,EUV和軟X光應用中使用,以及製造和使用中的裝置,設備,材料和部件的方法和系統。
本發明一般涉及的材料,設備,裝置,和紫外線(UV)的使用方法,遠紫外線(EUV)和軟X光輻射,如光刻(EUVL)或其他應用程式。更特別的是,但不限制於,本公開中的UV,DUV,EUV和軟X光應用涉及的材料和部件的使用,以及製造方法和使用的材料和組件在儀器,設備和系統使用EUV輻射。
本公開涉及的系統或子系統,儀器,工具和設備,其可使用任何紫外線,深紫外線,遠紫外線和軟X光包括材料處理系統,雷射光源,成像,掃描,照明或傳感系統,編輯或寫作系統,監控,觀察,檢查或檢測系統,高分辨率系統的望遠鏡,衛星,生物技術或遺傳中的應用。
一般本申請公開涉及獨立使用的光學元件或內部系統或子系統可使用任何紫外線,深紫外線,遠紫外線和軟X光。
所述元件可以是是一個基底,鏡子,透鏡,表面,窗口,刻面,過濾器,覆蓋件,覆蓋層,保護層,阻擋層,薄膜,塗層,內表面積,集熱器,液滴發生器,分散材料,面板,波導,諧振腔,光纖,結構組成,反射元件,透射元件,一個探測器,一個相機,一個波長監控,帶寬和功率監測,傳感器,光罩,光致抗蝕劑,冷卻機制,薄膜,熱管理機制,光源,燈,雷射,光學元件,光刻機,積分器,結構部件,光學裝置,電氣裝置。
在某些實施方案中,本公開涉及一種能夠在一個曝光系統中使用的元件,其中所述系統或子系統包括一個光源發射具有波長的光。該元件可以包括具有多個結構特徵的材料。該多個結構特徵可以提高該元素的反射率到大於70%的選定波長。
在另一個實施方案中,本發明涉及一種能夠在一個曝光系統中使用的元件。該系統或子系統可包括一個光源,以傳送具有波長的光。該元件可以包括具有多個結構特徵的材料。該多個結構特徵可以提高元件的傳輸到大於4%的選定波長。
在另一個實施方案中,本發明涉及一種能夠在一個曝光系統中使用的元件。該系統或子系統可包括一個光源,以傳送具有波長的光。該元件可以包括具有多個結構特徵的材料。所述多個結構部件可以控制,增加或減少所選波長的電磁輻射吸收。
該光學元件具有一個整體形成的奈米結構或奈米級的功能,其與在預定波長處的電磁輻射相互作用,在某些情況下的預定波長比可見光短。奈米結構的大小可以是預定義的波長或子波長,或預定義波長的倍數的量級。該結構被配製為基本上提高光學元件的反射率或傳輸,或增加或減少光學元件的吸收在超出其體積對應的那個波長。這些奈米結構可以被配置在特定的圖案或結構,或形狀或空隙,以實現所需的光學性能在預定義的頻率。精確的材料的配置可以由電磁場類比設計,然後相應地製成。此外,工藝設計,製造和表徵的光學元件被公開。基體材料,配置結構和裝配技術的選擇被進一步公開。
所述材料的配置也可以用一個建築模組製造。在建築模組工藝過程中,材料或材料的子集可被組合或堆疊以創建總體塊狀材料結構。例如,但不限於,金屬,電介質,半導體或聚合物的三維陣列可以堆疊在任何配置中,形成一個三維疏鬆材料的物件,其中整體的疏鬆材料揭示了原始材料的多個單元的層疊結構。
在一個實施方案中,所述波長小於或等於250nm。所述多個結構部件可具有第一尺寸,其中第一尺寸基本上與波長相關聯。在一個實施方案中,所述多個結構部件,包括建築模組,奈米結構具有為250nm和0.01奈米之間的第一尺寸。所述的多個結構部件可以是一維,二維,或三維。所述多個結構部件可以在材料中具有週期性。週期性可能是在一維度,二維度,或三維度。所述 多個結構部件可以配置成下列之一:半週期,非週期性的,准週期性的,分級的,部分漸變,對稱,分形,螺旋二十四面體,瑞士蛋捲,非平面,段,重複單元,形成一種圖案,或隨機或半隨機的順序在材料中。所述多個結構部件可以有一個包含形狀或尺寸的層,膜,球,塊,金字塔,環,多孔結構,圓柱體,掛鈎的形狀,貝殼,自由形狀,手性結構,非描述的形狀,半球或段。
所述材料的選擇可以包括以下的一種或多種:金屬,電介質,氣體,液體,合金,化合物,半導體,聚合物,有機材料,生物材料,單原子材料,單層,氣凝膠,空氣,碳,鉬,鈹,鑭,碳化硼,矽,二氧化矽,二氧化鈦,釕,鈮,銠,金,銀,銅,鉑,鈀,鍺,鍀,鍶,鋯,DNA,蛋白質,葫蘆脲,導電氧化物,凝膠,溶膠-凝膠,金屬有機骨架,石墨烯,矽樹脂,鍺烯,纖維素,膠束,石墨,碳奈米管,硫化鉬,鉬硒,O2,N2,氦,氫,氬,二氧化碳,一維材料或二維材料。所述結構部件或奈米級建築模組可以包括一種或多種下列物質:金屬,電介質,氣體,液體,化合物,半導體,聚合物,有機材料,生物材料,單原子材料,單層,氣凝膠,空氣,碳,鉬,鈹,鑭,碳化硼,矽,二氧化矽,二氧化鈦,釕,鈮,銠,金,銀,銅,鉑,鈀,鍺,鍀,鍶,鋯,DNA,蛋白質,葫蘆脲導電氧化物,凝膠,石墨烯,螺旋二十四面體,纖溶酶,矽樹脂,鍺烯,膠束,金屬有機骨架,分子篩,膜,過濾器,石墨,碳奈米管,或硫化鉬,O2,N2,氦,氫,氬,二氧化碳,真空或空隙。
在一個實施方案中,材料的材料或材料方面的一部分可以由的下列處理方法之一製造:自組裝,指導組裝,軟模板,電鑄,電鍍,犧牲或支架材料,嵌段共聚合物,自下而上技術,EUV或XUV光刻,聚焦電子或離子束,奈米壓印,原子力或掃描探針顯微鏡,兩個或更多個光子光刻,雷射照射,脫合金,化學蝕刻,化學表面活性劑,表面處理和原子層沉積。
所述材料結構還可以使用一個支架結構製成,其中支架結構可支援或承載建築模組組裝。在一個具體的實例中,所述元件由首先提供一種支架結構,然後將材料沉積以或圍繞該支架製成。所述支架結構提供了奈米結構的 基本模板,和在某些情況下形成至少部分奈米結構的,而在其他情況下,該支架是犧牲的,以使得在其去除的空隙變成至少部分奈米結構。
光學元件中的基底材料可以通過原子層沉積來沉積。原子層沉積是一個自我限制的過程,該過程中產生具有良好的化學計量,純度高,體積密度,三維適形,低缺陷率和低表面粗糙度的極高品質的薄膜。原子層沉積可用於沉積的犧牲材料,在支架結構內和周邊或在結構單元組裝過程中提供替代基底或材料。
在設計過程中,類比和製造的光學元件必須被表徵或檢查,以確保它達到光學性能所需水準,例如在應用程式中使用前的反射率,傳輸或吸收,或表面粗糙度。表徵是一個具有挑戰性的過程,並且必須與製造同時進行,並不可或缺的以在EUV波長處實現性能。表徵調校包括掃描電子顯微鏡,反射計,分光光度計,光譜,光吸收,原子力顯微鏡,掃描探針顯微鏡,X光衍射,EUV光源,EUV同步,透射電子顯微鏡。
在某些實施方案中,本申請公開提供了一種製造材料的方法,該方法可以在波長上具有70%以上的反射率。該方法可以包括拋光主機層的步驟。在一些實施方案中,該方法可以進一步包括組裝一種聚合物或支架結構的步驟。此外,該方法可以包括生長在支架結構的主層。生長層也可以通過原子層沉積來沉積。該方法還可以包括拋光主層的表面。此外,該方法可以包括除去聚合物或支架結構,使得材料的反射率是在0.1奈米和250奈米之間的波長大於70%的步驟。在某些實施方案中,該方法可以包括通過雷射照射或化學蝕刻或其他清洗來平滑一個或多個層的步驟。所述聚合物或支架結構可以是一種或多種嵌段共聚物。在一個實施方案中,該方法可以進一步包括在頂部或在底部基底上施加覆蓋層的步驟。
在一些實施方案中,材料或結構特徵可通過下列處理方法之一進行清洗,平滑或後處理:化學蝕刻,雷射輻射或加熱,退火,離子蝕刻,原子層蝕刻,或蒸氣退火。
100‧‧‧圖形
200‧‧‧三維陣列
210‧‧‧材料
220‧‧‧三維陣列
230‧‧‧反射率圖表
300‧‧‧元件
310‧‧‧罩
316‧‧‧材料
320‧‧‧入射光
400‧‧‧光刻膠合成物
410‧‧‧材料
420‧‧‧光刻膠
550‧‧‧方法
600、604、607、609、611、616、621‧‧‧方法
650、652、654、656、658、661、663、665‧‧‧方法
700‧‧‧EUV反射率曲線
702‧‧‧理論反射率曲線
703‧‧‧濺射的反射率曲線
704‧‧‧原子層沉積方法的反射率曲線
本公開內容可以更充分地理解,並結合附圖進行以下詳細描述,其中:
第1圖示出的一個Mo/Si多層疊層的反射率特性中的EUV波長相比本文所述的材料的結構的細節。該圖還顯示表面粗糙度對反射率的影響。
第2圖示出了一個三維結構的實施例,包含在EUV波長來自一種結構的結構特徵以及示例性反射率曲線。
第3圖示出了一個使用本文描述材料的光刻光罩的實施例。
第4圖示出了一個使用本文所述材料的光致抗蝕劑的實施例。
第5圖示出了一個使用本文描述的材料製成的光學元件或表面的實施例。
第6圖示出了一個製造過程的實施例,利用一個聚合物模板製造本文所述的材料。
第6A圖是一個根據本申請發明製造一件光學元件的流程圖。
第6B圖是一個根據本申請發明征一件光學元件的流程圖。
第7圖示出了採用原子層沉積的一個EUV反射鏡的反射率曲線。
使用遠紫外(EUV)輻射的光刻技術能夠實現更小特徵尺寸的設備製造。但是,大多數材料對遠紫外光譜中的遠紫外輻射有著很高的吸收能力。選擇對遠紫外譜的吸收能力低的自然材料往往受到限制。因此,大多數材料的高吸收能力影響了遠紫外光刻(EUVL)系統的性能。舉個例子,操作一個遠紫外光刻系統可能需要高光學倍率。由於提高的光學倍率,該系統也可能需要一個廣泛的熱管理系統。
本發明描述了可以提高遠紫外光刻系統性能的材料或材料結構。本發明進一步描述了這些材料的製造及這些材料在EUVL系統的元件、裝置和設備中的使用。這裡所描述的材料、方法和系統也可以在電磁波波長在紫外線和軟性X光之間的系統中使用。
這些材料可以進一步提升非光刻系統的性能,該系統可以使用紫外線、遠紫外線或者軟X光波長。比如,燈和光源系統、生物學(生物鑒定和陣列開發)系統、植物系統、成像和顯微系統、傳感器激活、螢光、量子點、天文系統、材料加工系統及原子、原子核及粒子發射輻射、加速系統、航太系統。
此處使用的紫外線輻射是波長範圍大約在120奈米到400奈米之間的電磁輻射,級紫外線輻射是波長範圍大約在1奈米到120奈米之間的電磁輻射,軟X光輻射是波長範圍大約在0.01奈米到1奈米之間的電磁輻射。所選擇的波長範圍可以是兩個或兩個以上的光子加工過程,與紫外線、遠紫外線或X光範圍中的激勵相同。定義的一些不同之處可能存在於一般文獻中,但是目標區域大致是相同的。另外,目標範圍旨在圍繞被定義為遠紫外線輻射的輻射。在半導體光刻系統中,目標波長節點為13.5nm。
本發明還描述了將紫外線、遠紫外線、遠紫外線、軟X光輻射應用於下面的系統、裝置和方法:生物材料發展、列印和圖形化、顯微、材料加工、天文系統、曝光、成像和掃描系統、照明、感應、編輯或錄入系統,例如雷射直接寫入,監控、觀察、檢查或者檢測系統、高分辨率系統,例如望遠鏡,微型、生物科技或遺傳應用。更具體地,這些應用可以包括三維列印、選擇性生物材料圖形化、生物傳感器激活、去氧核糖核酸/縮氨酸圖形化、排序、量子點激活、螢光顯微鏡、選擇性生物材料激活。
現代遠紫外線掃描儀或光刻系統需要高反射鏡來增強送至晶圓的光。對於一個包含多達12個或更多反射鏡的系統來說,有意義的光量因為受限制的反射鏡而流失。整個掃描儀系統的總效率低於1%。現有工藝水準的反射鏡由鉬矽分層介質膜製成,其在13.5nm節點上理論上有72%的反射率,但是實際上在製備過程中降至67%。製備工藝在接口層之間產生了至少0.6nm的表面粗糙度,使得光被分散。第1圖為理論上的Mo-Si多層結構與新材料反射率比較的反射比圖表。第1圖中的圖形100顯示了由鉬矽形成的頂級的現有技術反射鏡的模擬效率,包括散射效率。作為鮮明的對比,本發明提出的新的材料和結構提供了理 論上有80%的反射率。初步考慮,反射率僅提高10%不能視為是顯著的,但是在光刻法中需要考慮多個反射鏡的使用。在這種情況下,理想反射鏡的工藝水準可以提供0.3%的能量輸入給掃描儀到達晶圓。作為對比,本發明公開的反射鏡會超過1%。使用現在的遠紫外線光源,該新光學元件能夠使用相對低功率的甚至更短波長的光源。這樣,顯著地功率消耗、製造成本、工程技術挑戰和移向更短波長的遠紫外線熱問題可被避免。
本發明描述了可被用於遠紫外線波長應用的新的材料。該新的材料可以包括多個裝置,可被用於需要運行於一個或多個電磁波長範圍。在一個實施例中,結構特徵的尺寸與遠紫外線應用中使用的波長相比大約為同一方向。例如,結構特徵可以有大約13.5nm的尺寸。在一些實施例中,這些特徵可以是有著10nm至20nm順序的尺寸的結構特徵。在另一個實施例中,材料可以有0.001nm至10nm範圍的結構特徵。在另一個實施例中,材料可以有10nm至250nm範圍的結構特徵。這些特徵可以被稱作奈米級特徵。
奈米級結構特徵典型地設置有一材料以改變它的光學特性,以及中尺度的總體配置。所選擇的不同光學特性對新材料的從屬取決於奈米級結構的設計、種類、形狀和分佈。例如,球體的三維陣列,或者基底內的孔或槽的二維層,其中總的材料配置是陣列的,且奈米結構特徵是球形,或其他形狀元素,或槽形。典型地,這些奈米結構特徵的集合形成了總的材料配置,而不是單一特徵。例如,該配置可能被謹慎選擇並置於能夠在所選波長下提高反射率的位置,或者降低所選波長下的光學吸收能力,或者提高總的傳輸能力使得其高於沒有奈米級特徵的鬆散材料。本發明描述了不同種類的配置以實現目標效果,然後是實現目標結構的製備方法。典型地,模擬實驗被用來理解所選波長下的所需預設配置,該波長能夠實現目標光學性能。
新的材料可以包含被設計用於降低或增加應用中來自於它們鬆散對應物吸收能力的奈米級特徵,該應用中使用紫外線或軟X光波長範圍。
新的材料可以包含奈米級特徵,用於通過表面等離子體效應或減少光學吸收能力顯著提高光的光學傳遞。這能夠有效產生高透明材料,也可以用於一薄膜或透鏡。
在一個實施例中,結構特徵的尺寸可以關聯紫外線波長。在其他實施例中,結構特徵的尺寸可以關聯軟X光波長範圍。例如,在一些應用中,奈米級特徵可以大致與應用中使用的輻射波長相關聯。該材料可以包括次波長特徵,即比光波長或材料的更小的特徵可以包含特徵尺寸,其為光波長的積分倍數。
奈米級特徵可以包括,例如,週期或半週期的、准週期的或非週期的結構、隨機或重複或被重複元素。週期性結構可以是一、二或三維結構。該結構可以是層結構的一部分,或者位於基底上。基底可以是平面或非平面或自由形態的。週期性結構的例子包括奈米粒子、螺旋結構、瑞士螺旋結構的二維或者三維陣列。
奈米級特徵可以是一維、二維或三維的。奈米級特徵可以是任何尺寸的任何形狀,例如,但不僅限於層、薄膜、球形、塊狀、錐形、環形、多孔結構、圓柱形、相連形狀、殼形、節狀或任何它們的組合。
改材料可以包括例如分級結構。例如,任何尺寸的一分層結構,其中材料內的一些層有長度、深度、厚度、週期或者重複單元,其由之前的層增加或降低。在一個實施例中,如果這些層被這樣設置以產生分級的折光率,那麼預設的光學響應被產生用於更為廣泛的波長或角度範圍。該結構可以是層結構的一部分,或者位於基底上。基底可以是平面或非平面或自由形態的。
改材料可以包括多孔材料。例如,一多孔金屬結構、多空金屬膜或一多孔奈米粒子,其中多孔性或三維限制降低了光學吸收能力。該奈米粒子可以是帶有一金屬層的二氧化矽。多孔材料也可以有多個填充率,比率在孔尺寸和它的牆厚度之間,以控制類似角度範圍的光學特性。
在一些實施例中,降低或增加基底材料的鬆散密度是有益的,該基底材料用於進一步提高紫外線波長範圍的光學性能。此處描述的沉積方法可以被用於提高基底材料的鬆散密度。奈米級結構可以被用於降低基底材料的鬆散密度。
額外的奈米結構特徵也提供了折光率的局部改變,且光線可以在局部光學層處被反射、傳遞、吸收或分散。多個層可以被集合用於增強反射、傳遞、吸收或相干散射。
第2圖解釋了帶有空穴220的三維陣列200的實施例。材料210可以包括任何形狀的溝槽或空穴220。溝槽或空穴220可以以任何尺寸被分佈貫穿材料210,尺寸範圍從0.01nm至微米尺寸。溝槽或空穴220可以由一液體、液化氣、氮原子材料、有機材料、聚合物或真空填充。該材料可以包括膜、獨立式結構或元素,或者部分支撐結構或特徵,或者支撐結構。這些特徵可以由結構或者部件支撐。溝槽可以是呈週期性的或隨機分佈。氣體可以包括氧氣、氫氣、氦氣、氮氣、氬氣、二氧化碳或者其他包括氣體,包括非惰性氣體。帶有溝槽(如220)的金屬球(如210)的三維週期性陣列(如200)的例子。如果系統處於真空,那麼空穴也可以包括真空。第2圖還解釋了來自包括空穴的材料的反射率圖表230。如第2圖的反射率圖表230所示,在波長約為13.5nm時,反射率230大於70%。
該材料可以進一步包括單原子材料或單層的微或奈米結構特徵。單原子和單層材料的一些例子包括石墨烯、石墨、鉬、硫化物、硒化鉬、矽烯、鍺烯和碳奈米管。單原子材料可以用於光學元件或熱管理或冷卻機構元件。單原子材料可以用於與其他材料結合,例如金屬、電介質、半導體。它可以形成層結構、週期性結構、多維或自由形態結構的一部分,或位於基底上。
該材料可以是有機材料或生物材料。該材料可以進一步包含有機或生物材料的微米或奈米結構特徵。有機材料或生物材料的例子包括去氧核糖核酸、蛋白質或其他分子或遺傳物質,其具有較低的波長吸收能力。有機材料或生物材料還可以是一犧牲材料或一軟模板或支架結構。有機或生物材料可以 是裝入其他材料中,包括但不僅限於聚合物或介電物質或半導體。有機或生物材料可以用於光學元件或熱管理或冷卻機構元件。有機或生物材料可以用於與其他材料結合,例如金屬、電介質、半導體。它可以形成層結構、週期性結構、多維或自由形態結構的一部分,或位於基底上。
該材料也可包含一個聚合物。該材料可以進一步包含聚合物的微米或奈米結構特徵。聚合物還可以是一犧牲材料或一軟模板或支架結構。在一些實施例中,聚合物可以被移除,剩下材料中的溝槽或空穴。這些溝槽或空穴可以在材料中形成結構特徵。在其他實施例中,聚合物可以保留在材料內。該聚合物可以是光刻膠。該聚合物還可以通過雷射或兩個或兩個以上光子雷射工藝照射或曝光。
該材料可以包括奈米級特徵,用於使用金屬、半導體、合金、電介質、複合物、氣體、液體或這些的組合。這些奈米級結構可以被工程設計,以通過一個或多個條帶的波長降低吸收能力。金屬可以包括例如金、銀、鉑、鉬、鈹、釕、銠、鈮、銅、鑭、銠、鈀、金、銀、銅、鉑、鈀、鍺、鍀、鍶或鋯。複合材料可以包括例如,矽、二氧化矽、碳化硼、碳、有機、生物材料、鍺、聚合物或單原子的材料或單層或空穴、液體或氣體或其他元素、合金或化合物,或真空。這種情況下,每種材料可以有一小量的吸收能力,正如折射率的虛數部分描述的那樣,其中一個材料比另一個材料更多。
該材料可以由奈米尺寸的結構和特徵,可以形成一維、二維或三維的陣列或週期性排列,例如,但不僅限於光子晶體、子晶體、超材料、手征結構或者次波長結構。陣列的特徵可以被協調至使波長、光譜帶寬、光子能帶隙角接收率、反射率包括平均反射率(當平均高於光譜範圍)、傳遞、吸收、散射和電磁增強因子、共振或交互模式。該結構可以提供一空穴,其降低了光線的群速度,以增強電磁感應,或者形成一波導或空穴,以確定電磁節點被增強且確定節點被禁止。傳播禁止模式下,這可以被用於形成選擇性或無定向鏡子,帶有可調峰值波長和光譜帶寬特性。空穴還可以被用於提高光的轉換,從 紅外線到紫外線,正如兩個或兩個以上光子加工所需的,或者一光源發射來自遠紅外激勵的遠紫外線輻射,例如一等離子體光源。該材料還可以用於降低或提高群速度,因此提高了或降低了材料內光的相對吸收能力。
該材料的奈米級特徵,例如,設置為三維六角包裹陣列。三維六角包裹陣列可以包括一金屬。該金屬可以是例如金、銀、釕、鉬、矽、鍺、或鉑、鈀或其他金屬。以第2圖為例。
該材料的奈米級特徵,例如,包括一螺旋結構。該螺旋結構可以是金屬,例如,金、銀、釕、鉬、矽、鍺或白金。
該材料的奈米級特徵可以是例如使用石墨烯或鉬和石墨(鉬-石墨烯)。奈米級特徵可以包括一石墨烯雙螺旋二十四面體結構。
該材料可以位於基底上。該基底可以是矽或石英玻璃、石英、玻璃、低熱膨脹基底或其他基底,例如微晶玻璃。該基底或設置於基底上的薄膜可以有一下種晶層。例如,如果一金屬薄膜被沉積為多層結構的一部分,或一支架結構上,那麼二氧化矽、五氧化鉈、藍寶石、鈉鈣玻璃或其他金屬、介質、元素或化合物的一下種晶層可以被首先沉積,以幫助提高金屬或多層薄膜的黏著層和薄膜品質。種晶層也可以位於基底上或在材料實施例內。
本發明還描述了用於製作材料的方法、裝置和技術。使用自上而下的加工程式可製作所述EUV材料,其中,通過受控真空環境中的電沉積,材料被沉積到一個平坦的基底上。沉積的材料可具有大約5奈米或更小的厚度,以及小於波長/20的粗糙因子。優選低的粗糙因子,由於源自異常現象的米氏散射,其減少了整體反射率或材料的透射。具有足夠低的粗糙度的沉積的超平材料具有挑戰性。當多個材料或層狀結構被使用,每種材料和每層可被分別打磨或拋光。
在某些實施例中,所述EUV材料可使用自下而上的方法來製造。在自下而上的製造方法中,可通過從結構的末端插入物質使得疏鬆物質可逐漸增大,從而只需要對一個表面(最頂層的外表面)進行打磨處理。所述自下而 上的方法可被用於製作光刻,根據材料而在UV、EUV和軟X光的波長範圍內中使用。
在一個實施例中,為特定波長而被優化的材料可通過使用軟模板化方法而被製作。在所述軟模板化方法中,某些聚合物或犧牲材料或臨時材料,但不限於此,可臨時被與電沉積和其他材料沉積技術結合使用。所述犧牲材料或聚合物形成一種軟模板或支架結構,一旦實際的材料就位,其可在稍後被移除。犧牲材料或臨時材料可通過化學腐蝕或其他方法被除去。犧牲材料的一個例子可以是光刻膠。臨時材料的另一個例子為奈米球。所述軟模板化方法基於優化的材料可被用於製作光刻,以減少一種或多種波長的吸收,或者在UV、EUV和軟X光中的波長範圍。這些EUV材料可被進一步用於製造光刻系統的元件。第6圖顯示了一個方法實施例,該方法用於通過使用基於軟模板化的方法的聚合物製造所述材料。所述方法550可包括拋光主層的步驟。在一些實施例中,所述方法可進一步包括組裝聚合物或支架結構的步驟。此外,所述方法可包括在所述支架結構上不斷增加主層。所述方法也可包括拋光所述主層的表面。進一步地,所述方法可包括去除聚合性或支架結構的步驟,以便所述材料的所述反射率在0.1nm-250nm的波長區間大於70%。在一些實施例中,所述方法可包括通過雷射照射或化學腐蝕來光滑化一層或多層的步驟。所述聚合性或支架結構可以是一種或多種嵌段共聚物。在一個實施例中,所述方法可進一步包括應用加蓋或基底的步驟。
在軟模板化方法的另一個實施例中,聚合材料或嵌段共聚合物或膠束,或金屬有機骨架材料,或分子篩,或生物材料,或有機材料,或膜可被旋塗於基底上。通過隨後的處理步驟,該結構最終被建成,所述隨後的處理步驟涉及加熱、退火、化學漂洗或沉積中的一種或多種。所述沉積技術可包括廣角沉積,或者可變角度沉積或濺射,電鑄,溶劑沉積,溶劑蒸氣退火,超聲波裂解,或原子層沉積。所述軟模板化方法可被用於生產大面積的EUV材料。
所述EUV材料也可通過使用電鑄或其他類似工藝被製作。在電鑄過程中,一種材料,例如一種金屬,通過化學方法、電學方法或磁性方法由另一種材料生成。此方法可被用於金屬鉬和釕的電鑄,它們是不常用的電鑄金屬。所述電鑄工藝可在UV、EUV和軟X光範圍內基於材料而被用於光刻加工。
已經被發現的是,具有通過使用原子層沉積而被制得的EUV材料是非常需要的。原子層沉積的類別可包括特定工藝,例如原子層沉積,原子層外延,原子層沉積,化學氣相沉積,其包括:等離子體增強化學氣相沉積,低壓化學氣相沉積,金屬有機化學氣相沉積或外延。原子層沉積是一種自限性過程,其生產出非常高品質的薄膜,具有良好的化學計量,純度高,良好的體積密度,三維保形性,低缺陷率和低表面粗糙度。原子層沉積可被用於沉積犧牲材料,提供替代性基底或材料,在支架結構中或圍繞支架結構,或者在構造塊組裝期間。
在EUV材料中,具有低表面和接口粗糙度和高保形性的材料的原子層沉積以提高效率和反射率是非常需要的。第7圖一個實施例,顯示使用原子層沉積和濺射的帶有不同表面粗糙度值的EUV反射率,第7圖顯示了在EUV反射率曲線700中的增加,通過由原子層沉積而制得的材料。具體地,第7圖列舉了理論反射率曲線702,以及用於濺射的反射率曲線和原子層沉積方法的反射率曲線704。
現在參見第6A圖,其示出了用於使用原子層沉積工藝的方法600。特別是,所述方法600旨在製造並表徵在EUV波長和1nm-250nm範圍內的更寬的波長中使用的光學元件。通常,方法600展示出了製造光學元件的方法602以及表徵光學元件和材料的方法604。正如方法607所示,提供了一種基底,接著,執行方法609,一個預定義的奈米級結構將被佈置在其上。在某些情況下,所述奈米級結構可包括前述的支架。所述支架在一些情況下可成為最終材料構造的一部分,而在其他情況下,其可被犧牲,以便其在製造過程中被除去。接著,執行方法611,由於所述奈米級結構被佈置,材料將通過使用一種原子層沉積方 法被沉積。所述特定材料可以是上述任何一種,然而,鉬和釕已經被發現是特別有用的。原子層沉積方法的使用使得材料的高度均勻的厚度實現被實施為小於3nm。進一步地,所述層施用具有高度保形性,以便所述層由此產生的拓撲結構是高度展示的奈米級結構。
可選地,執行方法616,在犧牲支架的案例下,所述支架可被除去。在這種情況下,仍可保持有氣泡,這是因為在某些情況下可成為上述的元件的材料構造的一部分。在其他情況下,如果所述支架被使用,那麼可能保持也可能變為所述材料構造的一部分。最後,執行方法621,所述光學元件如上所述地被拋光並加蓋。
兩種材料已在EUV波長中獲得高反射率方面顯示出了特別的前景。釕和更顯著的鉬,在EUV光學元件中雙雙被證明作為基底是特別有效。然而,在此處所示的使用之前,這兩種元件尚未通過使用原子層沉積被成功沉積,所述原子層沉積以提供對EUV反射率而言必要的改進的粗糙度和保形性的方式。然而,如下所述,借助於特別研發的前體,已經獲得了這樣的保形性和改進的粗糙度特性。
所述研發過程可能涉及一種或多種特定前體的新用途。該前體可以是固體、液體或氣體。所述前體也可為金屬,或金屬有機物或純有機物。沉積可涉及晶種過程和淨化處理以去除污染物的過程。所述原子層沉積可使用一種升壓處理,其中,使用一種氣體以給前體鼓泡。該工具可以在沉積過程中使用等離子體。此外,所述沉積可涉及環境氣體的使用。所述氣體可包括:氮氣、氧氣、氨氣、氫氣。所述反應可為自限性反應。可通過原子層沉積到光學元件之上或作為光學元件的一部分而被沉積的材料的例子可包括但不限於:金屬,釕,鉬,鍶,鋯,鉬氧化物,二氧化矽,矽,鉭,鈦以及其他化合物。用於沉積Mo的唯一的前體,包括但不限於:MoCO6,MoCl5和MoCl6,MoO3,MoCl4,MoO2C22H22Mo2O6,C16H10Mo2O6,C10H10Cl2Mo,C10H10Cl2Mo,MoF6,Si2H6。用於沉積Ru的唯一的前體包括:C10H10Ru,C7H9RuC7H9,Ru(C5(CH3)5)2, Ru3(CO)12。另外,有機前體的使用,可與上述任何一種前體聯合使用,以創造真實的原子層沉積。有機前體的例子包括:二氫吡嗪。
EUV,DUV,軟X光或者包含至少一種由原子層沉積而制得的元件的光學材料可被與其他材料混合組合,或者在一種或多種維度或層級結構中。例如,多層鏡可含有所有的或部分的由原子層沉積制得的頂層。所述原子層沉積元件的粗糙度可為小於1nm。此外,不像其他沉積方法那樣,所述原子層沉積的表面粗糙度可小於0.5nm。使用原子層沉積可能獲得縱橫比為1:1或更大的一個非常高水準的共形性。底層結構可以是單維或多維的,固體的或多孔的,並且可通過原子層沉積被塗層保護。
所述材料的製備可涉及一個清洗步驟,在所述沉積之前或之後。如果所述材料在一種基底上被製備,那麼所述基底可在所述沉積之前或之後需要一個清洗步驟。所述清洗步驟可包括酸或堿的使用。該材料或基底可通過使用矽烷化的步驟而被製備。
所述材料構造也可由氣泡成核法或有機蒸發法制得,以獲得大於70%的反射率。該材料可包括母元件或化合物或合金,它們都是從其化學計量的形已改變的,或者可以是同位素。在某些情況下,該材料的堆積密度可以被改變來調整光學特性,該材料可從單個氣泡或材料的收集而被形成,其以介質形式以產生全介電鏡。材料或它們的底物也可在一個多維添加劑中被製作,使用具有一個或多個自由度的加工設備來製造設置。
所述EUV材料可通過使用自裝配或其他類似方法而被進一步製作。在自裝配中,所述材料的某些方面,例如,奈米級特性被組裝在一起以形成整體大宗結構。該裝配形成可為自裝配或指導組裝。在一個實施例中,所述特徵可以通過化學的、電學的或磁性的方法保留一個給定的剛性結構。此類的一個實施例為化學極化材料。在另一個實施例中,該材料的基底可被預圖案化以確保一個優選的結構或置於其上的主體材料的實施例。在另一個實施例中,所述基底可以是用有機或生物材料或化學處理的表面,以確保一個優選的或選 擇性的結構或置於其上的主體材料的實施例。所述自裝配方法可被用於製作光刻,基於用於UV、EUV和軟X光的波長範圍內的材料。
所述材料也可通過使用一個折疊方法而被製作。在所述折疊方法中,所述材料或者該材料的子集可被折疊,或彎曲或鉸鏈,以添加一個更高的層面至整體材料結構。例如,但不限於,一個金屬電介質2D陣列可被折疊以形成3D分層物件,其中,整體塊狀材料顯示出了原始材料多個單元的堆疊結構。
所述材料也可通過使用一種建築模組的方法而被製作。在所述建築模組的方法中,所述材料或者該材料的子集可被組裝或堆疊以創建總體散裝材料結構。例如但不限於:金屬半導體、3D陣列可以任何構造被堆疊,以形成3D塊狀材料物件,其中,所述整體塊狀材料顯示出了原始材料多個單元的堆疊結構。
例如,所述材料可通過化學蝕刻工藝而被製作。化學腐蝕劑(例如酸)也可被用於在半導體或聚合物或金屬中選擇性地去除材料。
在一些實施例中,所述材料可通過使用去合金方法而被製作。在此方法中,所述材料可能包括一種金屬。所述金屬可與另一種輔助金屬混合在一起,例如通過加熱/熔化工藝以形成鑄塊。隨後,腐蝕性的酸可被用於選擇性地移除所述輔助金屬,例如,金或銀,以獲得原始材料的多孔結構。保留的結構可在原子水準形成一個均勻且光滑的表面。
所述EU材料或者該材料的任何子集或元件,可被進一步地使用雷射進行拋光或光滑化。所述雷射可在飛秒或皮秒範圍具有脈衝持續時間。所述雷射可在該製造之前或期間或之後使用。所述雷射也可被用於輻照材料後加工,以抹去、拆卸、清洗或去除任何缺陷、異常或不均勻。它包括不直接參與制造過程的缺陷的去除。例如,在光罩上的所述材料的一個實施例中,所述光罩可從其製作方法的另一個部分接收缺陷顆粒,或從光刻或光源系統中的雜散離子/元件處接收缺陷顆粒。所述光罩可以通過雷射照射處理隨後被清洗乾淨。
在一些實施例中,所述材料的奈米級結構特徵或構造塊或元件可由雷射進一步製造。所述雷射可在所述製作之前或期間或之後被使用。所述雷射方法可以是兩個或多個光子過程方法的一部分。
所述材料或所述材料的任何子集或元件可通過使用具有受控濃度的化學腐蝕劑被進一步拋光或光滑化。在一個實施例中,在該材料的形成過程中,所述材料或所述材料的任何子集或元件可通過使用表面活性劑或化學處理過的表面而被進一步光滑化。所述表面活性劑之後可被除去。所述化學表面活性劑方法可被用於製作光子結構成型,以在UV,EUV和軟X光範圍中被使用。
所述材料或所述材料的任何子集或元件可通過使用等離子體腐蝕劑或離子蝕刻、電子蝕刻,或者在掃描電子顯微鏡中,或者使用原子層蝕刻而被進一步拋光或光滑化。在一個實施例中,所述材料可通過輻射、離子或在全方向蝕刻中分子轟擊而被後期拋光,或者通過感應耦合等離子體(ICP)蝕刻工具而被拋光。
所述材料或所述材料的任何子集或元件或奈米級特徵也可通過光刻或印刷或圖形化過程而被製造。所述光刻或印刷過程例如可包括:電子束光刻,奈米壓印光刻,紫外線,遠紫外線或X光光刻,二維或三維光刻,立體光刻,聚焦的電子或離子束,掃描隧道顯微,掃描探針光刻,原子力顯微,溶膠-凝膠奈米加工,兩個或更多個光子光刻,蘸筆光刻,近場光刻,雷射輔助刻印,基於溫度的圖案化,基於雷射的圖案化,雷射直寫方法。此外,一種蝕刻或沉積或溫度方法可與光刻或印刷工藝聯合使用。所述光刻或印刷方法可在UV、EUV和軟X光範圍內被用於製作基於光刻的材料,並被用在光刻設備、系統或裝置中。
在另一方面,本發明涉及包括奈米級特徵的用於在選定的電磁波長範圍內的材料的製造方法。所述材料可為此處所述的用於元件或裝置的材料,其用於光刻或其他光學應用。所述材料也可通過使用嵌段共聚物支架方法而被製作。所述方法例如可包括:製造具有至少第一嵌段和第二嵌段的嵌段共聚物結構。所述方法可進一步包括除去所述第一嵌段,以及替換由第一嵌段所 佔據的所述結構的體積的至少一部分,所述第一嵌段具有金屬或半導體或聚合物,電介質或單質材料。所述嵌段共聚物方法可被用於製作基於光刻的材料,用於UV,EUV和軟X光的波長範圍中。
所述第一嵌段例如可為選擇性可降解的嵌段。所述方法可進一步包括:全部或部分地除去第二嵌段和/或除去任何額外的嵌段。所述第二嵌段和/或任何額外的嵌段可通過使用如等離子蝕刻的方法而被除去。
體積的至少一部分的替換例如可包括:電化學沉積的金屬或半導體。體積的至少一部分的替換可包括:金屬或半導體的電沉積或電成型。
在另一個實施例中,所述材料可通過使用瑞士卷或層壓的方法而被製作。在所述瑞士卷的方法中,所述材料或所述材料的任何子集可被從一端卷起以添加更高維度到整體材料結構,以及整個材料的橫截面表現為所述材料的多個構造。例如但不限於:一個金屬電介質2D陣列可從一端被卷起以形成3D圓柱形物體,其中,所述圓柱形物體的垂直於軸線的橫截面展現出原始材料的多個單元的疊層結構。
另一方面,本發明涉及系統或子系統的元件。所述元件可包括具有奈米級特徵的設計成在在選定的電磁波長範圍中至少部分反射或透射電磁輻射磁相互作用增強的材料。所述材料可為上述材料,或者在下文中所述的材料。所述材料可被置於一個元件上,或嵌入在元件內,或嵌入在輻射發射系統內或輻射發射系統內的元件內,或者在選擇的波長範圍內的輻射監測裝置。
在一個實施例中,所述系統或子系統是一種光刻系統。所述元件可以是一個光刻系統的組件之一。例如,元件可包括但不限於:光罩,檢測器,波長監視器,帶寬或功率監視器,感應器,光致抗蝕劑,基底,冷卻機構,熱管理機構,光源,燈具,雷射,光學元件,光刻機,集成器,結構部件,電氣裝置,光學裝置或者包含於該系統中的任何其他部件。所述系統或子系統也可包括半導體製造裝置或設備。第3圖顯示了一種元件300(此例中為光罩),其可包括一種材料316。所述罩310可接收選定波長的輻射320。在一個實施例中,該 材料316可以是一個如第2圖所示的三維陣列。在其他的實施例中,所述材料316可為此處所述的任何材料,其可以增加元件300的反射率。在一些實施例中,所述元件300的反射率對於某一選定波長可被提高到70%以上。所述波長可在0.1nm至250nm之間。所述材料316可被集成到所述罩310中,如第3圖所示。在一個實施例中,所述材料被夾在所述罩310的頂部和底部層之間。固定所述材料316的其他方法也可被採用。
應當注意的是,除了光刻系統,上述材料也可被用在一個生物系統、2D或3D印製或圖案化系統、或材料處理系統中。這些系統也可包括可以使用EUV材料的元件,以提升性能。例如,元件可包括:光罩,檢測器,波長監視器,帶寬或功率監視器,感應器,光致抗蝕劑,基底,冷卻機構,熱管理機構,光源,燈具,雷射,光學元件,光刻機,集成器,結構部件,或者包含於該系統中的任何其他元件或部件。在一些實施例中,所述EUV材料可被用在一個投影透鏡系統中。例如,在此系統中,在選定的波長範圍內,儀錶可以包括多個光學元件,例如望遠鏡或衛星。
系統的另一個例子,其中,可被使用的EUV材料為涉及在選定電磁波長範圍檢測的系統中,例如,X光檢測、成像和掃描系統,來自核粒子的輻射,以及加速器系統,生物系統。EUV材料也可被用在掃描和成像系統中。EUV材料也可被用在工作波長的一個或多個範圍內需要減少吸收的系統中。
系統的另一個例子,其中,可被使用的EUV材料為涉及在選定電磁波長範圍內檢測的系統,例如一個光罩檢測工具。
在一個實施例中,所述元件為一種光學元件。所述光學元件可包括:光學基底,鏡子,透鏡,表面,窗口,刻面,過濾器,覆蓋元件,覆蓋層,阻隔層,薄膜,塗層,內表面積,集電極,液滴發生器,內部分散材料,面板,波導,空腔,纖維,結構部件,反射元件,傳輸元件,檢測器,攝像頭,波長監視器,帶寬或功率監視器,感應器,電子裝置或光學裝置,或者可被用在上述系統中的任何其他光學元件。
所述光學基底可以是矽,熔融二氧化矽,氟化鋰,氟化鈣,或氟化鎂。
所述光學元件也可為既不透光也不反光,但是用於在一定區域內增加電磁相互作用。例如,它可以增強一定的電磁模式,以便輻射形成一個空腔,或者增加可用於交流的內表面積。第5圖顯示出了光學元件500的一個實施例,其中,一種材料510被置於所述光學元件500的表面520的頂上。所述材料可用此處未示出的其他方法被固定到所述光學元件500。所述光學元件500可以接收所選波長的輻射530。在一個實施例中,所述材料510可以為如第2圖所示的三維陣列。在一個實施例中,所述材料510可以為任何的此處所述的材料,其能夠增加所述光學元件500的反射率。在一些實施例中,所述光學元件500的反射率對於選定的波長可被增加70%以上。所述波長在0.1nm至250nm之間。所述光學元件可與此處所述的任何系統共同被使用。
該EUV材料或元件的正確表徵對於其成功的運用在例如光刻工藝中是必不可少的。特別是,對於所述EUV元件獲得高反射或透射效率所必須的所述奈米級結構或特徵必須被揭露以用於測量,並且隨後被表徵的所得材料以保證在特定波長(也許模擬)中與預定義佈置以及在所述EUV材料的整個表面區域的足夠的均勻性相一致。然而,光學工程領域只定義了特性,當其表徵奈米結構時具有有限的含義和用途。因此,需要一種確認和表徵具有奈米級結構的EUV材料的新方法。
引用的EUV材料可以表徵設置。所述表徵設置可以測量所述材料的透射率,反射率,吸收率,折射率,散射,表面粗糙度,電阻率,均勻性,帶寬,角度範圍,景深,電磁強度,波長的敏感性,幅度或相位。所述表徵設置可以是:橢圓計,反射計,分光光度計,X光衍射工具(XRD),X-射線光電子能譜(XPS),掃描電子顯微鏡,(SEM)或(TEM),同步輻射或原子力顯微鏡(AFM)。所述表徵設置可使用一種光源或一種雷射或桌頂的X光源,探測 器,光罩檢測工具,攝像頭,平移或旋轉台,具有一個或多個維度。所述表徵設置可進行電氣測量以確定電導或電阻。
表徵的使用已經被發現特別有用的和必須的,當與EUV一起使用或光學元件使用構件裝配或支架或分層結構或配置,其中子結構的細節在三維方向並在上表面之下是重要的。通常這種配置難於在20nm長歸度的下方建造,並且在此長歸度使用表徵設置的可見性對產生新的材料是吃緊的。此表徵步驟有助於改善製造產量,類比的精確度並評估來自缺點和表面粗糙度的負面作用。原子力顯微鏡或EUV反射計的使用使EUV材料的表面粗糙度測量小於1nm,並且發現對觀察下面的奈米結構正行性具有高度滿意度。以此方法,掃描電子顯微鏡,原子力顯微鏡,EUV反射計或其他這種設備的使用在確定奈米結構,均方根粗度和標準偏差的設置時是有效的,並且它的同預設的配置(有時來自類比)是一致的。只有在那時,總體材料配置可期待完成先進的光學性能。更普通的,如第6B圖中的表徵處理中說明的那樣,方法652:一個光物理學家會設計一個奈米結構的目標圖案,用於EUV材料,接著,方法654,模擬654理論效率。方法656,這些結構為組合,組合使用一個裝配,和或如之前所述的沉澱工藝,它允許了高度保形的低表面粗糙度材料散置在配置中。方法658,一旦EUV材料被創建,可使用一個掃描電子顯微鏡,成像實際的奈米圖像,並因而整個材料配置的全部奈米結構圖案的理解可被曝光。執行方法663,在成像後,整個配置被測量了,也許使用一個反射計或EUV光源,以通過預定義的配置,確認光學性能和效率或傳輸或反射率。掃描電子顯微鏡,用於測量和確定奈米結構圖案和設置的品質。執行方法661與665,實際的表徵資訊被比較至類比的特徵和結構,它可以隨後用於修改製造過程,以改善奈米結構特徵的放置,或改善表面粗糙度,或確認特別的EUV材料遵從說明書,以便它在目標EUV波長上具有期望的反射率特徵。
第4圖說明了一個材料-光刻膠合成物400的實施例。材料410可嵌入或中間分散入一個主材料,例如,光刻膠420。材料可改善主材料420的性能。 在光刻膠的情況下,電磁相互作用的增加,例如,分散和吸收聚合物或有機材料可增加光刻膠的敏感性。
在另一個範圍內,本公開涉及一個反射元件。反射元件可包含一個材料,材料具有奈米級特徵,用於在選擇的電磁波長範圍內至少部分的反射電磁輻射。材料可以是之前或之後描述的材料。
反射元件可以是,例如一個鏡片或一個光學組件。鏡片可以是,例如,一個鏡子,透鏡,光學窗,濾光器或塗層,薄膜,膜或基片或其他光學元件。或者,反射元件可以是一個光罩的組件,或一個塗層或光罩的材料層。光罩可以是一個光刻光罩。或者,反射元件可以是一個光刻膠或一個光刻膠元件。光刻膠可以是一個影印的光刻膠。反射元件可以是,例如,一個影印設備或系統的組件或元件,例如一個EUVL系統,或一個軟X光系統。
反射元件可以是,例如,一個塗層或材料層,位於鏡子,光刻膠,光罩或其他組件或設備之上或之內。鏡子可以熔融石英或氧化鈣鏡片。
反射元件可以是,例如,設置為一個光刻設備組件。反射元件可以設置為電磁輻射源設備組件。反射元件可以設置為半導體製造設備組件或其他使用UV,EUV,或軟X光電磁輻射設備的組件。反射元件可以是一個UV,EUV,或X光光源的組件。
反射元件可包括一個材料,具有奈米級特徵,用於在選擇的電磁波長範圍內部分的反射。或者,或此外,反射元件可包括一個材料,具有奈米級特徵,用於基本上在選擇的電磁波長範圍內完全的反射。在一些實施例中,反射元件可包括一個材料,具有結構特徵,用於具有大於或等於70%的反射率。
反射元件可包括一個材料,具有奈米級特徵,用於在選擇的電磁波長範圍內部分的反射,其中材料可持續的具有大於或等於70%的反射率。
反射元件可包括一個材料,具有奈米級特徵,用於在電磁波長範圍內增加光譜帶寬。它的一個例子可以是一個分級結構。
反射元件可包括一個材料,具有奈米級特徵,用於在電磁波長範圍內增加有角度的接收。它的一個例子可以是一個2D或3D對稱結構。
反射元件可包括一個材料,具有奈米級特徵,用於在電磁波長範圍內增加平均反射比(頻譜範圍上綜合和平均的)。
在另一個方面,本發明涉及一個傳輸/透明的遠景。透明的元件可包括一個材料,具有奈米級特徵,用於在所選的電磁波長範圍內至少部分的傳輸(大於或等於4%)電磁輻射。材料可以是之前或之後描述的材料。透明的元件可以是,例如,一個組件或光刻設備的元件或系統,例如一個EUVL系統,或一個軟X光系統,或一個生物技術,或一個材料處理系統。
透明元件可以是,例如,一個鏡片或一個鏡片組件。鏡片可以是,例如,一個鏡子,透鏡,光學窗,或其他光學元件。或者,透明元件可以是一個光罩的組件,或一個塗層或光罩的材料層。光罩可以是一個光刻光罩。或者,透明元件可以是一個光刻膠或一個光刻膠元件。光刻膠可以是一個影印的光刻膠。
透明元件可以是,例如,一個塗層或材料層,位於鏡子,光刻膠,光罩或其他組件或設備之上或之內。鏡子可以熔融石英或氧化鈣鏡片。
透明元件可以是一個光刻設備組件。在一些實施例中,透明元件可以為電磁輻射源設備組件。透明元件也可以設置為半導體製造設備組件或其他使用UV,EUV,或軟X光電磁輻射設備的組件。透明元件可以是一個UV,EUV,或X光光源的組件。透明元件可以是一個光學窗,一個塗層,或材料層組件,設置於光學窗之內或之上。
在其他的實施例中,材料可用於光學收集,作為部分的光學系統。系統可以是一個光刻機,或梯級和掃描系統,或具有影像還原的潛力。材料可幫助改善整體系統的效率,電效率或系統的光學效率。材料也可減少工具的整體電消耗。
在其他的實施例中,材料可用于空間,高於地面,地球外的,地基的,衛星的,空中的和基於應用的監督,或在這些應用中作為部分系統或子系統。材料可以用於日光成像,或X光成像。
材料可用於機床或材料加工工具,或切割,或分割工具。工具可以是一個高流通量的工具,每天處理高容量的樣本或一個高速工具,用於例如檢測,資料分析,或成像。
材料可用於組成電磁波陣面。這可以包括控制振幅,相位,相干性,角度範圍,或電磁波陣面的局部折射率,在波長或子波長刻度上。
材料可用於光譜純濾光片,其中一個或電磁波長或波長範圍被傳輸了,並且一個或多個波長被反射和吸收了。
材料也可用於一個離子碎片濾波器或一個薄膜。並且,有時用於一個等離子源,或一個光罩系統。
材料可用於一個系統,系統用於分離,寫入,編輯,傳輸,加熱,切割或說明一個生物標本。例如,材料可用于一個EUV光源和系統,並用於有選擇的拼接一個DNA鏈,或切割一個細胞,或一個基因序列。
在另一個實施例中,EUV材料可用於一個曝光系統,系統位於一個電子製造和一個定向自我裝配製造系統(DSA)。在此情況下,電子在一個晶圓上,使用光刻系統和一個定向自我裝配製造方法中的一個或兩個製造。
在另一個方面,本發明涉及一種方法,用於完整的或部分的,製造和使用上面描述的奈米光子學材料和相關方法。
在另一個方面,本發明涉及方法,在系統中使用這樣的奈米光子學材料,系統例如遠紫外光刻(EUVL)或軟X光光刻系統,或其他系統。
在另一個方面,本發明涉及組件,設備和系統,完整的或部分的包括上面描述的奈米光子學材料。
奈米光子學材料可包括一個週期性的一維,兩維或三維結構,用於在選擇的波長,例如在UV,EUV,或軟X光波長,組成一個電磁場輻射的低容量吸收。
不同的附加範圍,特徵,和功能進一步與下列的附圖一起描述。
此中描述典型的實施例用於說明不同範圍,細節和裝置的功能,方法的目的,以及用於檢測內部是否為管道,導管和其他孔洞的系統;然而描述的實施例並不受到任何限制。顯而易見,對於本領域的技術人員,在其他實施例中實施的不同的範圍都落在本發明的精神和範圍之內。
應當注意,此中使用的術語‘“典型的”意味著‘“作為一個例子,實例或說明使用”。任何範圍,細節,功能,實施,和/或此中描述的實施例作為“典型的”未必解釋為與其它的實施例相比是優選的或有利的。
遠紫外線光刻是一個與其他紫外線(UV)光刻巨大的區別,例如如今普遍使用的深紫外線光刻技術。EUV輻射是被所有材料高度吸收的,並且因而EUV光刻通常在一個真空中發生。在此系統中的光學元件應當用於最小化EUV輻射的吸收,然而,這樣實施起來是困難的。例如,組件比如鏡子,通常吸收35-40%的入射光。迄今為止,建造的典型的預生產的EUVL系統至少包含兩個聚光器多層鏡,兩個透射多層鏡,以及一個多層物件(光罩)。既然鏡子已經吸收了大約96%的可用EUV光,一個恰當的EUV光源足夠照亮,以克服輻射的損失。EUV源開發以及聚焦於由雷射或排放脈衝產生的等離子體。負責收集光線的鏡子之間暴露等離子體,並且因而易受熱破壞以及來自高能量離子和其他雜物的破壞。此關於產生EUV輻射的高能量處理的破壞限制了EUV光源用於光刻的實施。
因此,由於EUV光刻設備的這些吸收屬性,已存的EUV光刻掃描單元具有較低的效率,EUV光刻設備使用傳統的材料元件,例如光學器件,鏡子,光學窗,光罩,光刻膠和其他元件或組件。
雖然一維結構可代表一些潛在的優點,它們也有限制。例如,鉬/矽多層堆棧配置的初始模擬分析指出了,從一個具有50層的週期的90奈米的一維鉬/矽多層堆棧中獲得的最大反射率為在零度入射角時的理論最大值70.6%,如第1圖所示。在實際中,由於製造工藝和米氏散射的缺陷,反射率變的更低。
因此,在一些實施例中,一個EUV反射元件(以及相關設備)具有一維或兩維或三維奈米級結構,用於在大於13.5奈米的波長範圍內運行,並具有一個大約80%或更高的反射率,可製造和用於例如EUVL的應用,其中EUVL使用上面描述的技術。此外,具有相似傳輸屬性的材料(例如EUV透明材料和相關的組件和設備)可以使用上面描述的技術類似的製造。
在其他方面,奈米結構的兩維或三維材料,例如上面描述的或類似的或相同的材料,可以用於組件和設備,例如,雷射,雷射系統,光源,掃描儀,光罩,以及抵抗材料,或在製造半導體或其他設備中其他的設備或系統。
其他的應用可包括等離子源或同步加速器輻射源或其他電磁輻射源。還有其他的應用可包括激態原子或其他雷射器,例如工業雷射器,X光電磁輻射設備或其他設備,用於在波長範圍內,例如紅外的,可見的,UV,EUV,或X光波長內,產生或使用電磁輻射。使用奈米光子材料的組件或設備也可以用於其他的應用,例如生物醫學設備或其他設備或系統。
在一些實施例中,一個三維石墨烯光子晶體可以作為奈米光子學材料使用,以用於運行在UV,EUV,或X光波長的設備和系統。石墨烯是一個最近開發的材料,具有高熱傳導性並可以是透明的或,通過使用堆棧,層或其他的組件配置,產生反射或吸收性。類似的,在一些實施例中,碳奈米管,具有同石墨烯相同的屬性,可被用於產生透明的或反射的碳奈米管材料。例如,石墨烯或碳奈米管材料可用於光刻設備,例如,一個塗層或分層材料。這些材料的高導熱性使它們在應用中具有優點,應用需要透明度或反射性(例如,在UV,EUV,和/或軟X光波長),伴隨著高傳導性的需求,高傳導性產生熱量(例如, 設備中的高熱量損耗,例如光掃描工具,晶圓製圖機器,多光子設備,或其他設備或系統,其中使用了UV,EUV和/或X光輻射,例如形成一個光刻膠圖案。
在另一個實施例中,一個奈米結構的材料可以製成雙螺旋結構。雙螺旋結構可包括,例如金(Au)和/或鉬(Mo)。雙螺旋結構可使用一個共聚合物技術,例如之前描述的技術。這樣一個材料具有一個較低的金屬密度,在其間隔內具有環境空氣。例如,金屬密度可以小於對應的疏鬆材料,例如,一個因子10或更多。
本發明的其他的實施例和修改對於本領域的技術人員觀看了這些技術後,是很容易發生的。因而,本發明的保護只限於下列的申請專利範圍,包括所有這些的實施例和修改,及其之上的說明書和附圖。
應當理解,此中公開的處理和方法中,特定的順序或步驟層級或階段是典型方法的例子。根據設計優先級,應當理解,除非另有所指,處理中的特定的順序或步驟層級可被重新排列,而保留在本發明的範圍內。
公開的實施例的之前的描述用於使任何本領域的技術人員使用本發明。這些實施例的不同的修改對於本領域的技術人員是顯而易見的,並且此中定義的通用的原則可以在不背離本發明的精神和範圍內,適用於其他的實施例。因而,本發明並不用於限制顯示的實施例,而在於是此中公開的原則和新穎特徵同最寬的範圍保持一致。
本發明並不限定於此中顯示的範圍,而與說明書和附圖的完全範圍保持一致,其中除非明確的指出,涉及單數的元件並不意味著“一個並且只有一個”,而是“一個或多個”。除非特別聲明,術語“一些”是指一個或多個。一個涉及“…中的至少一個”後有條目列表的短語指任何那些條目的組合,包括單個元件。作為一個例子,‘“至少一個:a,b,c”是指覆蓋:a;b;c;a和b;a和c;b和c;以及a,b和c。
本發明之前的描述用於使任何本領域的技術人員使用本發明。這些內容的不同的修改對於本領域的技術人員是顯而易見的,並且此中定義的通 用的原則可以在不背離本發明的精神和範圍內,適用於其他的內容。因而,本發明並不用於限制顯示的內容,而在於是此中公開的原則和新穎特徵同最寬的範圍保持一致。
此中使用有條件的語言,例如,“可以”,“可能”,“可”“例如”等,除非特別聲明,或在文中有其他理解,通常用於傳遞某些實施例,包括其他實施例未包括的,某些特徵,元素和/或狀態。因而,這些條件語言並不通常用於以任何一個或多個實施例,或一個或多個實施例需要包括邏輯判斷的方式,暗示那些特徵,元件和/或狀態,其中帶有或不帶有作者的輸入或提示,無論這些特徵,元件和/或狀態包括或在任何實施例中執行。術語“包含”。“包括”,“具有”等是同意的,並用於包含的,在一個開放式的方式,並且不排除附加的元件,特徵,行為,操作等等。並且,術語“或”用於它的包含含義(並不用於排外含義),以便在使用時,例如關聯一個元素列表,術語“或”意味著一個,一些,或列表中的所有元素。此外,冠詞“一”和“一個”被解釋為“一個或多個”或“至少一個”,除非另有所指。
連接語句,例如短語‘“至少X,Y和Z中的一個”,除非另有所指,被理解為通常用於傳遞一個條目,術語等,可以是X,Y,或Z中的任何一個。因而,這個連接語句並未暗示某個實施例需要至少X,Y,和Z的每個都被顯示。
雖然上面描述顯示,描述和指出了新穎性的特徵適用於不同的實施例,應當理解以設備或說明的演算法的形式或細節作出的不同的省略,替換和改變,可以在不背離本發明的精神的情況下進行。因而,未有之前的描述意圖暗示任何特別的特徵,特性,步驟,模組或塊是需要的或不可缺少的。如圖將會意識到的那樣,此中描述的處理可以在一個形式中具體化,形式中不提供所有的特徵並受益於此中的提出,由於一些特徵可以同其他的分別使用或練習。保護的範圍由附屬的申請專利範圍定義,而非之前的描述。
500‧‧‧光學元件
510‧‧‧材料
520‧‧‧表面
530‧‧‧所選波長的輻射

Claims (21)

  1. 一種製造一個光學元件的方法,元件用於在一個0.1至250奈米的目標波長範圍內是反射的和傳送的,包含:提供一個基底;將一組奈米級建築模組裝配入一個具有預定義設置的配置或支架結構;以及使用原子層沉澱,沉澱一個材料進入已裝配的配置或支架。
  2. 如申請專利範圍1所述的方法,所述元件用於增加或減少超出它的對應容量的吸收。
  3. 如申請專利範圍第1項所述的方法,還包括在原子層沉澱中使用一個釕,鈮或鉬前體,或一個有機前體的步驟。
  4. 如申請專利範圍第1項所述的方法,所述配置是一維,兩維或三維的。
  5. 如申請專利範圍第1項所述的方法,所述配置包含如下形狀或大小,包括層狀,薄膜,球形,塊,金字塔形,環,多孔結構,圓柱體,連接形狀,殼體,任意形狀,螺旋形的,手性結構,半球形或片段。
  6. 如申請專利範圍第5項所述的方法,所述建築模組由聚合物,金屬,半導體,絕緣體,氣體,化合物,碳,單層材料,石墨烯,有機材料,金屬有機材料製成。
  7. 如申請專利範圍第1項所述的方法,所述支架結構是犧牲的,並且在去除後,材料內的孔洞形成至少部分的已裝配配置。
  8. 如申請專利範圍第1項所述的方法,所述材料在一個表面粗糙度小於1nm的表面上沉積,並具有足夠的正形性,以曝光奈米級建築模組。
  9. 如申請專利範圍第1項所述的方法,還包括使用一個掃描電子顯微鏡或原子力顯微鏡,對奈米級建築模組成像的步驟。
  10. 如申請專利範圍第9項所述的方法,還包括比較成像的奈米級建築模組和預定義設置的步驟。
  11. 如申請專利範圍第1項所述的方法,所述目標波長小於約120nm。
  12. 如申請專利範圍第1項所述的方法,所述目標波長小於約20nm。
  13. 一種光學元件,用於在0.1至250奈米間的目標波長,包含:一組整體形成的奈米級建築模組,設置在一個配置內;一層材料,沉澱在奈米級結構之上或之內;以及其特徵在於,這層材料具有小於0.5nm的表面粗燥度。
  14. 如申請專利範圍第13項所述的光學元件,所述層具有足夠的正形性,以曝光奈米級建築模組。
  15. 如申請專利範圍第13項所述的光學元件,所述材料為釕,鈮或鉬。
  16. 如申請專利範圍第13項所述的光學元件,還包括孔洞,孔洞顯示了一個已移除的犧牲支架結構。
  17. 如申請專利範圍第13項所述的光學元件,所述光學元件時反射的,並在目標波長具有大於70%的反射效率。
  18. 如申請專利範圍第13項所述的光學元件,所述光學元件是傳輸的,並在目標波長具有大於4%的傳輸效率。
  19. 一種表徵光學元件的方法,元件用於在一個0.1至250奈米的目標波長範圍內是反射的和傳送的,包含:提供一個預定義的設計,用於一個奈米級建築模組的設置,建築模組形成了一材料配置;提供預定義的設計的一模擬效率;使用一個掃描電子顯微鏡或原子力顯微鏡,對光學元件成像; 使用來自成像評估的圖像,識別奈米級建築模組;比較識別的奈米級建築模組和預定義的設置;在目標波長測量所述光學元件的效率;以及比較測量的效率和模擬的效率。
  20. 如申請專利範圍第19項所述的光學元件,所述光學元件時反射的,並在目標波長具有大於70%的測量的反射效率。
  21. 如申請專利範圍第19項所述的光學元件,所述光學元件是傳輸的,並在目標波長具有大於4%的測量的傳輸效率。
TW104139375A 2014-11-26 2015-11-26 使用遠紫外線輻射光刻的材料、組件和方法,及其它應用 TWI687756B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462085222P 2014-11-26 2014-11-26
US62/085,222 2014-11-26

Publications (2)

Publication Number Publication Date
TW201626098A true TW201626098A (zh) 2016-07-16
TWI687756B TWI687756B (zh) 2020-03-11

Family

ID=56075062

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139375A TWI687756B (zh) 2014-11-26 2015-11-26 使用遠紫外線輻射光刻的材料、組件和方法,及其它應用

Country Status (7)

Country Link
EP (1) EP3224657B1 (zh)
JP (1) JP6772169B2 (zh)
KR (1) KR102527501B1 (zh)
CN (1) CN107209293B (zh)
SG (1) SG11201704226PA (zh)
TW (1) TWI687756B (zh)
WO (1) WO2016086204A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111580190B (zh) 2015-11-24 2021-12-28 哈佛学院院长及董事 制造针对可见光谱波长的电介质超颖表面的原子层沉积处理
NL2018989A (en) * 2016-06-03 2017-12-05 Asml Netherlands Bv Patterning device
EP3631533A4 (en) 2017-05-24 2021-03-24 The Trustees of Columbia University in the City of New York WIDE-BAND ACHROMATIC FLAT OPTICAL COMPONENTS BY DIELECTRIC METASURFACES MODIFIED BY DISPERSION
EP3676973A4 (en) 2017-08-31 2021-05-05 Metalenz, Inc. INTEGRATION OF LENS WITH PERMEABLE METAL SURFACE
DE102018211980A1 (de) * 2018-07-18 2019-09-05 Carl Zeiss Smt Gmbh Reflektives optisches Element
US10747119B2 (en) * 2018-09-28 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for monitoring reflectivity of the collector for extreme ultraviolet radiation source
KR102670423B1 (ko) * 2018-10-22 2024-05-28 캘리포니아 인스티튜트 오브 테크놀로지 3d 엔지니어링된 재료에 기반한 컬러 및 다중-스펙트럼 이미지 센서
US11650361B2 (en) * 2018-12-27 2023-05-16 Viavi Solutions Inc. Optical filter
JP7209104B2 (ja) 2019-02-25 2023-01-19 サイマー リミテッド ライアビリティ カンパニー 深紫外線光源のための光学素子
US11978752B2 (en) 2019-07-26 2024-05-07 Metalenz, Inc. Aperture-metasurface and hybrid refractive-metasurface imaging systems
WO2021069231A1 (en) * 2019-10-11 2021-04-15 Asml Netherlands B.V. Transmissive diffuser
CN112882506B (zh) * 2019-11-29 2023-03-28 科德尔科股份公司 用于控制熔炼炉操作参数的智能系统
EP3842861A1 (en) * 2019-12-23 2021-06-30 Imec VZW A method for forming an euvl pellicle
CN111208059B (zh) * 2020-02-11 2022-09-30 南京信息工程大学 基于核/壳纳米周期性线阵列等离子体超材料的光纤氢气传感器
US11927769B2 (en) 2022-03-31 2024-03-12 Metalenz, Inc. Polarization sorting metasurface microlens array device

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6967172B2 (en) * 2002-07-03 2005-11-22 Honeywell International Inc. Colloidal silica composite films for premetal dielectric applications
US20040130693A1 (en) * 2002-10-31 2004-07-08 Asml Netherlands B.V. Lithographic apparatus, optical element and device manufacturing method
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
SG112034A1 (en) * 2003-11-06 2005-06-29 Asml Netherlands Bv Optical element, lithographic apparatus comprising such optical element and device manufacturing method
US7273640B2 (en) 2003-11-21 2007-09-25 Rohm And Haas Denmark Finance A/S Highly reflective optical element
DE102006013055A1 (de) * 2006-03-22 2007-09-27 Merck Patent Gmbh Gasphasen-Infiltrierung von Leuchtstoffen in das Porensystem von inversen Opalen
US7892719B2 (en) * 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
NL1036469A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby.
DE102008040964B4 (de) * 2008-08-04 2010-07-15 Carl Zeiss Smt Ag Entfernen reflektierender Schichten von EUV-Spiegeln
US20100227476A1 (en) * 2009-03-04 2010-09-09 Peck John D Atomic layer deposition processes
WO2011023454A1 (en) * 2009-08-27 2011-03-03 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
NL2005460A (en) * 2009-11-20 2011-05-23 Asml Netherlands Bv Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product.
WO2011151116A1 (en) * 2010-06-03 2011-12-08 Carl Zeiss Sms Gmbh A method for determining the performance of a photolithographic mask
FR2961011B1 (fr) * 2010-06-08 2012-07-20 Commissariat Energie Atomique Materiau nanocomposite et son utilisation en opto-electronique
DE102010025033B4 (de) * 2010-06-23 2021-02-11 Carl Zeiss Smt Gmbh Verfahren zur Defekterkennung und Reparatur von EUV-Masken
WO2012044522A1 (en) * 2010-09-30 2012-04-05 The Trustees Of The University Of Pennsylvania Mechanically stable nanoparticle thin film coatings and methods of producing the same
JP6202798B2 (ja) * 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
SG11201406666RA (en) * 2012-01-19 2014-12-30 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
KR102131193B1 (ko) * 2013-02-19 2020-07-07 주식회사 다이셀 웨이퍼 레벨 렌즈용 경화성 조성물, 웨이퍼 레벨 렌즈의 제조 방법 및 웨이퍼 레벨 렌즈, 및 광학 장치
JP5758423B2 (ja) * 2013-02-26 2015-08-05 株式会社東芝 マスクレイアウトの作成方法
TW201438247A (zh) * 2013-03-06 2014-10-01 Sk Innovation Co Ltd 具有一致圖案排列之奈米粒子的單電子電晶體及其製造方法
JP2014180837A (ja) * 2013-03-21 2014-09-29 Dainippon Printing Co Ltd ガスバリア性フィルム及びその製造方法
JP6123452B2 (ja) * 2013-04-19 2017-05-10 富士通株式会社 Ge系ナノワイヤ光素子及びその製造方法

Also Published As

Publication number Publication date
EP3224657B1 (en) 2024-04-10
TWI687756B (zh) 2020-03-11
CN107209293A (zh) 2017-09-26
EP3224657A1 (en) 2017-10-04
WO2016086204A1 (en) 2016-06-02
EP3224657A4 (en) 2018-09-05
JP2017538974A (ja) 2017-12-28
CN107209293B (zh) 2021-09-03
KR102527501B1 (ko) 2023-05-02
JP6772169B2 (ja) 2020-10-21
KR20170141646A (ko) 2017-12-26
SG11201704226PA (en) 2017-06-29

Similar Documents

Publication Publication Date Title
US10838123B2 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
TWI687756B (zh) 使用遠紫外線輻射光刻的材料、組件和方法,及其它應用
JP2021170123A (ja) リソグラフィ及び他の用途における極端紫外線放射で使用する材料、成分及び方法
KR101699655B1 (ko) 펠리클막 및 펠리클
US10838124B2 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
Ye et al. Formation of broadband antireflective and superhydrophilic subwavelength structures on fused silica using one-step self-masking reactive ion etching
Chen et al. Continuous roll-to-roll patterning of three-dimensional periodic nanostructures
US9588416B2 (en) Methods and apparatus for nanofabrication using a pliable membrane mask
JP2023011587A (ja) 遠紫外線および軟x線光学部品用コーティング
US20220299685A1 (en) Fabrication of blazed diffractive optics by through-mask oxidation
US20220155672A1 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
Kobayashi et al. Self-assembly of fine particles applied to the production of antireflective surfaces
US20220155671A1 (en) Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
Williams et al. Tilted logpile photonic crystals using the LIGA technique
Lu et al. Fabrication of 2-D and 3-D photonic bandgap structures using laser-assisted imprinting of self-assembled particles
Kang Femtosecond laser direct writing of 3D metallic structures and 2D graphite
Mohammadkhani Modified colloidal lithography: a flexible tool for fabrication of periodic nanostructures
Zhou Stamp Fabrication

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees