CN107039304B - 用于评估半导体制造工艺的方法和装置 - Google Patents

用于评估半导体制造工艺的方法和装置 Download PDF

Info

Publication number
CN107039304B
CN107039304B CN201710063734.8A CN201710063734A CN107039304B CN 107039304 B CN107039304 B CN 107039304B CN 201710063734 A CN201710063734 A CN 201710063734A CN 107039304 B CN107039304 B CN 107039304B
Authority
CN
China
Prior art keywords
process step
layer
semiconductor wafer
semiconductor
parameters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710063734.8A
Other languages
English (en)
Other versions
CN107039304A (zh
Inventor
斯蒂芬·布尔
马丁·罗比格
波里斯·哈贝兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qoniac GmbH
Original Assignee
Qoniac GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qoniac GmbH filed Critical Qoniac GmbH
Publication of CN107039304A publication Critical patent/CN107039304A/zh
Application granted granted Critical
Publication of CN107039304B publication Critical patent/CN107039304B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/4184Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by fault tolerance, reliability of production system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述了用于评估和控制半导体制造工艺的方法和装置,该半导体制造工艺在工艺流程中具有多个工艺步骤。该方法包括从工艺测量数据库检索工艺步骤参数的测量。工艺步骤参数包括工艺步骤测量数据、工艺步骤上下文数据或工艺步骤控制数据中的至少一个。工艺步骤参数随后与一个或多个工艺步骤相关联。

Description

用于评估半导体制造工艺的方法和装置
相关申请的交叉引用
发明的背景
发明的领域
本申请的领域总地涉及评估和控制半导体晶圆上具有多个微电子电路的半导体芯片的半导体制造工艺的方法,以及还涉及用于评估利用半导体制造工艺的工艺流程的半导体制造工艺的装置。
相关领域的简要说明
应理解,本公开中使用的术语“半导体晶圆”意图隐含制造所有类型的半导体器件中所使用的晶圆,所述半导体器件包括但不限于微电子电路(诸如存储器器件、ASICS)、逻辑电路(诸如控制器或微处理器等)液晶面板和光电器件。
本公开中使用的术语“工艺流程”是指半导体制造工艺中的一系列工艺步骤(和/或分支路径,其还可彼此重新组合或与各系列工艺步骤重新组合)。
通过处理半导体晶圆来制造半导体器件的当前趋势是随着缩小的基本原则以及半导体制造工艺变得更加有竞争性,套刻和关键尺寸预算缩减。这种有竞争性的半导体制造工艺的非限制性示例包括但不限于多种图案以及奇特材料在半导体晶圆表面的高深宽比刻蚀或沉积。半导体晶圆表面上的一些半导体制造工艺的不均匀性以及多个半导体制造工艺步骤可导致施加于半导体晶圆上的不均匀的应力。
所发生的问题的一个示例是所谓的套刻误差(overlay error)。这可在半导体晶圆从一个工艺步骤到下一个工艺步骤后变形时发生,例如,从一个下层到该下层上面的接下来的层,上层的图案变得与下层的图案不对准。对于半导体器件的无错功能,不同层上图案彼此的相对位置是相关的。此不对准的原因可能是多次覆盖并且可取决于不同的工艺步骤。因此,本文档中描述的方法和装置的目的是使得能够评估和控制半导体制造工艺以识别和/或校正半导体制造工艺中的此问题。此评估和控制通过将多个数据项与工艺流程相关联且然后分析数据项和工艺流程的组合来完成。
利用有竞争性的半导体制造工艺所引起的进一步的问题是关于所谓的关键尺寸(CD)。此术语用于指示半导体晶圆表面上的关键图案的特征的几何尺寸。这些特征在进行工艺(诸如图案化光刻层、沉积或刻蚀等)后被测量,以便通过将实际值与目标值进行比较并通过确定该区域、整片半导体晶圆和一组晶圆(lot)上的不均匀性来验证工艺步骤(诸如,曝光和显影工艺)的质量。
实践中,具有多个测量,其在决定在半导体晶圆上制造的半导体器件是否可能按照规格来实施时需要被考虑。套刻测量和CD测量的使用仅仅用作说明。
收集数据记载半导体制造工艺的需求是已知的。例如,美国专利号US8,396,583(TSMC)教导了一种用于制造半导体器件的方法,其包括收集多个制造数据集。制造数据集被标准化且然后被用于预测半导体制造工艺之一的性能。
更早的专利US 5,866,437(AMD)教导了一种制造半导体晶圆的方法,所述方法利用仿真工具基于对关键尺寸的测量结果与历史数据的比较来确定预测的晶圆电测试结果。
US 7,646,476教导了一种基于对半导体衬底的缺陷信息的分析来检测工艺突发异常(excursion)的方法。
US 7,076,320 B1教导了改进半导体制造中的工艺控制的系统和方法。该方法使用原位监控工艺,例如散射测量系统,来确定关于晶圆产品的参数是否在控制限度以内。
这些现有技术出版物都没有教导将工艺步骤参数的测量结果与作为工艺流程一部分的工艺步骤相关联,以能够评估和控制半导体制造工艺。
发明内容
公开了一种评估和控制半导体制造工艺的方法,其具有多个工艺步骤。该方法包括:从工艺测量结果数据库检索工艺步骤参数,其中所述工艺步骤参数包括工艺步骤测量数据、工艺步骤上下文数据或工艺步骤控制数据中的至少一个,以及将所述工艺步骤参数与作为工艺流程一部分的工艺步骤中的一个或多个相关联。所述工艺步骤参数的关联使操作员能够更好地评估半导体制造工艺的工艺流程,以重新加工可以重新加工的层,以及按照需要将反馈或前馈修改应用至半导体制造工艺的工艺步骤。
所述工艺步骤测量数据包括套刻测量、关键尺寸测量、对准测量、找平测量、曝光剂量、刻蚀测量或沉积测量。
所述工艺步骤上下文数据包括下述中的至少一个:批次标识符、曝光日期、曝光配方、工艺室标识符、处理工具标识符或处理时间、半导体晶圆标识符、配方名称或类型、标线名称、层名称、产品或技术名称、抗蚀剂名称、抗蚀剂批标识符、显影剂标识符、显影剂批标识符、加热板号、显影室、冲洗液名称。
所述工艺步骤控制数据包括下述中的至少一个:工艺配方指导参数、目标参数、参考校正、场精细校正、晶圆网格校正、焦点校正、曝光剂量校正、刻蚀时间、沉积、气流速率和溅射电压。
还公开了一种用于具有多个工艺步骤的半导体制造工艺的半导体制造组件。所述半导体制造组件包括曝光工具、显影单元、刻蚀室、沉积室、处理器和工艺步骤测量数据库,其从下述中的至少一个收集工艺步骤参数的测量结果:所述曝光工具、所述显影单元、所述刻蚀室和/或沉积室。工作中的处理器从所述工艺步骤测量数据库检索所述工艺步骤参数,所述工艺步骤参数包括下述中的至少一个:工艺步骤测量数据、工艺步骤上下文数据或工艺步骤控制数据;并且将所述工艺步骤参数与所述工艺步骤中的一个或多个相关联。
附图说明
为了本发明及其优点的更加完全的理解,参考下面的说明和随附的附图,其中:
图1示出了本公开的半导体制造组件的简化概述。
图2示出了用于当前公开的评估和控制方法的实施例的概述。
图3示出了针对半导体层中的某些层上的结构的套刻测量的示例。
图4示出了半导体衬底上的多个层。
图5A、5B和5C示出了与金属性接触的连接的多个方面。
图6A至图6C示出了包括硅层的半导体晶圆的示例,所述硅层具有硬掩膜。
图7A和图7B示出了在半导体晶圆上创建stepcase结构。
图7C是创建图7A和7B中stepcase结构所用方法的流程图。
发明的详细描述
现在将基于附图描述本发明。应当理解,本文描述的本发明的实施例和方面仅仅是示例,并且不以任何方式限制权利要求的保护范围。本发明由权利要求及其等同物限定。应当理解,本发明的一个方面或实施例的特征可以与本发明的不同方面和/或实施例的特征组合。
图1以示意图示出了用于执行半导体制造工艺的多个工艺步骤的制造组件1,例如但不限于包括蚀刻和/或沉积的图案化半导体晶圆10的表面。制造组件1连接到处理器60,处理器60用于执行本公开的方法,如稍后将描述的。在该示例中,处理器60被示为单个单元,但是当然可以是多个单元或者如下所述的分布式网络。应当理解,图1所示的制造组件1仅仅是说明性的,并且在实践中,现实生活中的半导体制造工艺需要明显更多的元件。
应当理解,制造组件1形成半导体制造系统的一部分。制造组件1包括用于在半导体晶圆10的表面上旋涂抗蚀剂的涂布机(未示出)和用于对准和暴露半导体晶圆10的表面的部分以产生曝光的半导体晶圆11的曝光工具20,曝光控制器30,用于显影曝光的半导体晶圆11以产生显影的和曝光的半导体晶圆12的显影单元40,用于蚀刻显影的和曝光的半导体晶圆12以在半导体晶圆10上形成结构的蚀刻室50,和/或用于在显影和曝光的半导体晶圆12的表面上沉积新层的沉积室55。为简单起见,蚀刻室50和沉积室55在图1中示出为单个单元。该制造组件1产生处理过的半导体晶圆13。沉积室55也可以放置在制造组件10中的曝光工具20之前。在这种情况下,工艺流程将被修改,使得沉积新层将在材料的蚀刻之前进行,即新层的部分。
应当理解,制造组件1将在曝光工具20,显影单元40和/或蚀刻室50或沉积室55中的一个或多个中包括多个传感器27。这些多个传感器27对于曝光工具示意性地示出为单个传感器单元27。可以在任何曝光和显影的半导体晶圆12上沉积和/或蚀刻之前和之后进行测量。
还将理解,制造组件1具有多于一个蚀刻室50或沉积室55,以增加半导体制造工艺的生产量。应当注意,制造组件1可以包括来自各种制造商的工具。
除了具有掩模的光学半导体光刻工具之外,制造组件1还可以使用其它方法来产生图案以在半导体晶圆上形成结构。其它非限制性方法包括使用电子束在抗蚀剂中产生图案或使用压印光刻技术。
还将理解,存在一个或多个集成到制造组件1中的测量工具。一个或多个测量工具能够在完成一个或多个工艺步骤之后,测量半导体晶圆10的一个或多个物理的或电学的参数。应当注意,并不是所有的半导体晶圆10都被测量,而是仅测量挑选的半导体晶圆10。类似地,不是所有的曝光和显影的半导体晶圆12和处理过的半导体晶圆13都将被测量。
制造组件1还包括处理器60,这里示出为独立计算机,但是其可以作为在服务器上、云计算机中或本地计算机上的软件模块来运行。上面注意到,可以存在多于一个处理器60,并且为简单起见,在图1中示出了单个处理器。处理器60能够访问存储在存储器65中的工艺测量数据库,存储器65用于存储与半导体制造工艺相关的数据。
工艺测量数据库65通常是由例如在处理器60上运行的访问程序访问的关系数据库。关系数据库中的该数据包括但不限于工艺步骤测量数据23m,工艺步骤上下文数据23t和工艺步骤控制数据23c。数据项存储在表中,并且可以在本申请的方法中用于与工艺流程中的一个或多个工艺步骤相关联。
工艺步骤测量数据23m是在半导体晶圆10(或一个或多个曝光和显影的半导体晶圆12,或处理过的半导体晶圆13上)上执行的电数据或物理测量。在非限制性示例中,工艺步骤测量数据23m包括套刻测量、临界维度测量、对准测量、找平测量、曝光剂量、蚀刻测量或沉积测量中的至少一个。
套刻测量的非限制性示例包括套刻标记的位置、套刻标记的测量取向、在两个层之间的布置值以及质量参数。
临界维度测量的非限制性示例包括特征的位置以及特征和导出数据的几何数据,例如线边缘粗糙度或线宽粗糙度。
蚀刻测量的非限制性示例包括蚀刻深度。沉积参数的非限制性示例包括沉积厚度。
对准测量的非限制性示例包括对准标记的位置、对准标记的方向、布置值和质量参数。
找平测量的非限制性示例包括裸露高度、静态和动态残差。
工艺步骤上下文数据23t是与半导体制造工艺的工艺步骤相关的数据项。在非限制性示例中,工艺步骤上下文数据23t包括批次标识符、晶圆标识符、曝光日期、曝光配方、抗蚀剂和显影剂的类型中的至少一个,包括批号,处理室标识符,处理工具标识符,处理时间,半导体晶圆标识符,配方名称或类型,标线标识符,层名称,产品或技术名称,抗蚀剂名称,抗蚀剂批次标识符,显影剂名称,显影剂批次标识符,热板标识符,显影室,冲洗液名称或数据文件名称。
工艺步骤控制数据23c是与诸如曝光工具20、显影单元40、蚀刻室50和/或沉积室55的处理工具相关的数据。工艺步骤控制数据23c可以是例如从制造执行系统或高级工艺控制(APC)系统获得。在非限制性示例中,工艺步骤控制数据23c包括工艺配方指导参数、目标参数、沉积时间、气体流速和溅射电压或蚀刻室50的蚀刻时间中的至少一个,目标参数包括参考校正,场精细校正,晶圆网格校正和用于曝光工具20的聚焦校正和曝光剂量校正。工艺步骤控制数据23c可以是静态的或恒定的,即在半导体制造工艺的至少一部分期间不变,或工艺步骤控制数据23c可以根据在工艺步骤的执行期间接收的反馈/前馈来动态地修改。
来自处理工具的工艺步骤控制数据23c和工艺步骤测量数据23m的一些项可以通过从制造组件1中的一个或多个处理工具或测量工具轮询来获得。其他项目可以从管理执行系统获得。可选地,到工艺测量数据库60的数据的传送可以由半导体制造工艺流程中的特定事件触发。例如,使用为工艺工具或测量工具进行接口而定义的SECS/GEM协议来传送处理步骤控制数据23c的项目。使用例如web服务,TCP/IP协议或RS-232协议来传送数据项。
让我们假设多个半导体晶圆10被装载到曝光工具20中。在该方法的一个非限制性方面,装载到曝光工具20中的半导体晶圆10在前面的工艺步骤中已经涂覆有光致抗蚀剂膜。曝光工具20包括用于装载半导体晶圆10的装载端口21和用于卸载半导体晶圆11中的暴露的多个半导体晶圆的卸载端口29。装载端口21和卸载端口29在曝光工具20中可以是相同的。在曝光工具20中,半导体晶圆10被放置在衬底支架上。
典型的半导体晶圆10包括以栅格状图案或以偏移/交错图案布置在半导体晶圆10上的多个曝光场。在抗蚀剂已经旋转到半导体晶圆10的表面上之后,多个曝光场通常在另一曝光场之后曝光一个曝光场。衬底支架通过曝光装置20内的致动器(未示出)至少在两个维度上定位以移动半导体晶圆10。因此,使用投影系统25依次定位半导体晶圆10上的每个曝光场。投影系统25包括光源24和投影光学系统26,其与光掩模28一起工作。半导体晶圆10包括例如对准标记(参见图3),其由曝光工具20用于将半导体晶圆10的表面与光源24和投影光学系统26对准,以确保使用正确设置的正确曝光场被点亮。来自半导体晶圆10的对准的工艺步骤参数23的项目以及工艺步骤参数23的其它工艺步骤参数由曝光装置20产生,并且这些工艺步骤参数23被传送到处理器60。曝光装置20包括多个测量传感器27,以测量工艺步骤参数23的进一步项目(包括工艺步骤测量数据23m),并且可以进一步建模,如稍后所述。
每当半导体晶圆10,光掩模28以及投影系统24,26已经对准时,光掩模28被光源24照射,并且来自光掩模28的图案被投影为单次照射或在单个曝光场上扫描。光掩模28上的图案用于在半导体晶圆10的表面上产生一个或多个图案以及套刻标记。
曝光的半导体晶圆11被传送到显影单元40,其中在曝光的半导体晶圆11上的光致抗蚀剂层被显影并且抗蚀剂从曝光的半导体晶圆11的表面去除以产生曝光和显影的半导体晶圆12。曝光的和显影的半导体晶圆12被传送到蚀刻室50,在蚀刻室50中结构被蚀刻到曝光和显影的半导体晶圆12的最顶层中或传送到沉积室55中,在沉积室55中材料层沉积在曝光和显影的半导体晶圆12的表面上。在蚀刻或沉积之后,在曝光和显影的半导体晶圆12上的任何剩余的抗蚀剂(或多余的沉积材料)被去除,并生产处理过的晶圆13。曝光的和显影的半导体晶圆12也可以在从蚀刻室50蚀刻之后传送到沉积室55,以将新的材料层沉积到表面上,反之亦然。在所有层已经沉积和蚀刻或以其它方式处理之后,完成最终处理的芯片。
套刻标记用于测量曝光和显影的半导体晶圆12的套刻。套刻测量包括确定光致抗蚀剂图案相对于下层的布置,例如,确定是否存在重叠的良好值或重叠误差是否大。在光致抗蚀剂图案的布置如此大,以致于例如在抗蚀剂层中产生的特征不能正确地与下面的层中的选定特征对准的情况下,则半导体晶圆的区域中的特征的这种未对准可能导致在同一区域中的最终半导体芯片的一个或多个微电子器件的故障。如果发生显著的误差,则在从被曝光和显影的半导体晶圆12的上表面蚀刻或沉积另外的层之前,可以去除具有光致抗蚀剂图案的光致抗蚀剂膜。在这种情况下,可以通过去除光致抗蚀剂膜并用新的光致抗蚀剂膜涂覆,来对经曝光和显影的半导体晶圆12进行再加工。新的光致抗蚀剂膜可以在曝光工具20中再次曝光以产生新的光致抗蚀剂图案。
如果需要,套刻测量还可以用于基于工艺模型67来计算工艺校正参数,然后工艺模型67用于补偿工艺误差(如上面简要提到的)。套刻测量是存储在工艺测量数据库65中并且可以在工艺模型67中使用的工艺步骤测量数据23m的示例。
应当理解,套刻和临界维度的测量仅是对工艺步骤测量数据23m的分析的非限制性示例。工艺测量数据23m的其他项可以从各种来源获得,例如,在曝光工具20,显影单元40,沉积室55和蚀刻室50中。除了上述项之外,这些工艺步骤测量数据23m的项包括但不限于,套刻误差,临界维度,对准测量,找平测量,沉积厚度,蚀刻深度,线边缘粗糙度(LER),线宽粗糙度(LWR),侧壁角度,图案的其他几何数据,晶圆形状和/或变形,热板温度,缺陷测量,曝光剂量,聚焦/曝光剂量测量或电测量。
在理想情形中,将对工艺步骤测量数据23m进行大量测量。然而,这是耗时的,并且在大多数情况下不是必需的,因为工艺步骤测量数据23m的项的许多值随着时间和/或在整个半导体晶圆10或批次上保持基本上不变,或者实际上在一个晶圆台或在一个蚀刻室50或一个沉积室55中加工的晶圆。
不必对于每个单个半导体晶圆10或在同一半导体晶圆10上的每个单个曝光场来测量工艺步骤测量数据23m。用于曝光和显影的半导体晶圆12的子集的工艺步骤测量数据23m的测量项可以用于使用所谓的工艺模型67对工艺建模。例如,许多二十五个曝光和显影的半导体晶圆12的典型子集可以包括四个曝光和显影的半导体晶圆12。被挑选用于测量的曝光和显影的半导体晶圆12的数量是用户可配置的,并且取决于质量控制工程师决定的选择策略,并且这些描述的评估工艺使得质量控制工程师能够选择最相关的晶圆。
显然,所选择的曝光和显影的半导体晶圆12的样本的数量越大,建模的工艺数据将在统计上更可靠。如果半导体制造工艺的统计变化相对较低,则曝光和显影的半导体晶圆12的较少数量的样本将足以通过使用工艺模型67来获得足够可靠的估计。
工艺模型67使用工艺步骤测量数据23m的一个或多个项来对工艺建模,并且可以生成后工艺数据23p的进一步项目,其可以用于分析工艺。工艺模型67还可以用于计算所谓的残差,其是从工艺模型67计算的后工艺数据23p和工艺步骤测量数据23m的实际测量值之间的差。
应当理解,不必对所有的曝光场进行测量。通常对所选择的曝光场足以进行测量以获得统计上可靠的测量。如果统计变化增加,则曝光和显影的半导体晶圆12中所选择的数量应该相应地增加,以便产生统计上更重要的后工艺数据23p的进一步项目。
用于分析评估半导体晶圆10的半导体制造工艺的示例性方法在图2中概略地示出。应当理解,该方法不是如图2所建议的严格顺序的,而是几个步骤同时或以不同的顺序发生。该方法开始于步骤200。在第一步骤210中,定义半导体制造工艺。该定义可以手动输入到工艺控制器60中,或者可以在制造执行系统(MES)或高级工艺控制(APC)系统中定义的工艺流程来确定,使用诸如曝光工具20、显影单元40、蚀刻室50等的工艺工具,或者其可以使用时间戳从输入工艺测量数据23m的序列隐式地构造某一批次。应当理解,即使该定义涉及相同的产品和/或技术,该定义对于不同的批次也可以是不同的。该定义存储在工艺测量数据库65中。
在工艺步骤期间或之后,收集工艺步骤测量数据23m和任何相关联的工艺步骤控制数据23c(如果适用的话)的项,并且将链接到工艺步骤。工艺步骤控制数据23c的项在步骤220收集,并且包括工艺步骤控制数据。
在步骤225中收集工艺步骤上下文数据23t的项,并且在步骤230中收集工艺步骤测量数据23m的项。半导体工艺步骤测量数据23m的另外的项可以从在图1中未示出的制造过程中和之后使用的其他设备和工具获得。工艺步骤上下文数据23t,工艺步骤控制数据23c和工艺步骤测量数据23m以及后工艺数据23p的另外的项从工艺模型67收集,且存储在工艺测量数据库65中,如流程图中稍后的步骤250所示。
在步骤240中,工艺步骤测量数据23m,工艺步骤控制数据23c和工艺步骤上下文数据23t被连续地或分批地传递到处理器60。处理器60可以在步骤243中将工艺模型67应用于工艺步骤测量数据23m的一个或多个项目,如前所述。工艺模型67从工艺测量数据库65检索工艺步骤测量数据23m,并且可以将其计算结果写入工艺测量数据库65。
在步骤245中,处理器60将工艺步骤测量数据23m(以及从工艺模型67生成的后工艺数据23p的另外的项),工艺步骤控制数据23c和工艺步骤上下文数据23t关联到一个或多个工艺步骤,并且在步骤250中,将工艺步骤测量数据23m,工艺步骤控制数据23c和工艺步骤上下文数据23t以及从工艺模型67生成的后工艺数据23p的另外的项存储在工艺测量数据库65中。应当理解,存储步骤250实际上将在收集工艺步骤测量数据23m期间发生。该关联例如通过预编程处理器60以进行关联或者通过基于工艺步骤测量数据23m和/或工艺步骤控制数据23c的文件名进行关联来完成。此外,与工艺步骤测量数据23m和/或工艺步骤控制数据23t一起接收的工艺步骤控制数据23c中的数据项,可以用于进行数据与工艺步骤的关联。
在步骤260中,处理器60可以从工艺测量数据库65检索工艺步骤测量数据23m,工艺步骤控制数据23c和工艺步骤上下文数据23t,以在步骤270中执行评估。处理器60还可以包括工艺模型67,其中所收集的工艺步骤测量数据23m输入至工艺模型67然后对工艺模型67建模。工艺步骤测量数据23m然后还包括从工艺模型67导出的后工艺数据23p的另外的项。
在执行评估步骤270之后,然后可以在步骤280中执行多个动作。例如,可以重新加工曝光和显影的半导体晶圆12的一个层,或者仅使用最终半导体晶圆芯片的一部分,因为其余电子设备可能不合格。评估还可以使得使用前馈或反馈校正来修改一个或多个工艺步骤。
示例
示例:间接套刻测量
图3示出了部分曝光和显影的半导体晶圆300的多个层的示例。为了简单,仅示出了半导体晶圆上的四个层310a-d。在此示例中,具有布置在不同层上的四个结构320a-d,且其大致布置在彼此上面。四个层310a-d中的两个或更多个具有套刻标记,其使得能够测量四个结构320a-d中的某些之间的套刻,但不可能测量四个结构中的第一个(最上面)320a和四个结构中的第二个320b之间的套刻。这可能是因为没有套刻标记以直接测量第一结构320a和第二结构320b之间的沉积。
然而,在图3示出的示例中,可能测量第二结构320b和第三结构320c之间、第三结构320c和第四(最下面)结构320d之间以及第一(最上面)结构320a和第四(最下面)结构320d之间的套刻。这些套刻测量在相同的区域实施并与工艺步骤参数23一起被存储在工艺测量数据库65中。在此示例中工艺步骤参数23包括工艺步骤测量数据23m以及工艺步骤上下文数据23t。在这种情况下,工艺步骤上下文数据23t将包括晶圆标识符、批次标识符和层号。
处理器60将能够由存储在工艺测量数据库65中的工艺步骤参数23来计算第一结构320a和第二结构320b之间的套刻。处理器60将使用所存储的套刻测量以及工艺流程知识来进行此计算。在图3所示出的最简单版本中,所计算出的第一结构320a和第二结构320b之间的套刻测量Lab将为:
Lab=Lad-Lbc-Lcd
其中,Lab是最上面的第一结构320a和第二结构320b之间的套刻,Lbc是第二结构320b和第三结构320c之间的套刻,Lcd是第三结构320c和最下面的第四结构320d之间的套刻以及Lad是最上面的第一结构320a和最下面的第四结构320d之间的套刻。
示例:重要性分析
图4中示出了使用方法的进一步示例,其中在半导体衬底410上具有多个层400a-g。而且这是半导体器件的简化示例,实际中其还有很多层且还包括未在此图上示出的结构化特征。让我们假设,发现半导体晶圆10中的某些具有区域,在这些区域中电测量指示这些区域中的微电子器件并未说明。
工艺测量数据库65包括工艺步骤控制数据23c且因此确定半导体制造工艺流程中是否具有任何相似性是可能的,其会导致特定位置处(例如,在晶圆边缘处)的相似误差,以及超出规格的微电子器件。例如,会发现,第二层400b和第五层400e的刻蚀步骤(其形成超出规格的微电子器件)通常在特定刻蚀室50中实行,然而,半导体晶圆中的其它晶圆尚未在此特定刻蚀室50中进行处理。此信息可被用于测试更加广泛的、已经通过特定刻蚀室50的处理的半导体晶圆13。工艺步骤上下文数据23c的使用将使得能够理解问题是否是关于工艺步骤的结果,即工艺步骤中的其它步骤将影响误差的范围。而且,可能使用该结构以适合刻蚀室50中处理条件或使用信息来改变以前工艺步骤(反馈)中的曝光步骤的条件,因为相关工艺步骤参数23被存储在工艺测量数据库65中。
示例:偏离检测
方法的进一步应用是对所谓的偏离检测的评估,其中工艺步骤测量数据23m中所测量的项中的某些偏离了工艺步骤测量数据23m中的剩余项的期望值。这可参考曝光步骤进行说明。如上面所讨论的,曝光工具20提供工艺步骤测量数据23m,所述工艺步骤测量数据23m涉及-除其他项-用曝光工具20实施的工艺步骤的曝光区域和对准,诸如旋转抗蚀剂、曝光和显影。
此公开的方法使得能够检查被曝光和显影的半导体晶圆12中的每一个的工艺步骤测量数据23m且能够检测工艺步骤测量数据23m中的偏离以及识别相应的工艺步骤。例如,对准数据可用于通过识别这种偏离来检测网格变形缺陷。
然后该方法使得能够对半导体制造工艺进行校正测量。这些校正方法意味着重新加工受影响的层或对在经处理的半导体晶圆13的某部分上制造的器件实施详细的电测量或物理测量,如下面所注释的。
在另一方面,使用该方法和布置来审核任何问题并确定在哪一个工艺步骤中发生该问题是可能的,所述问题刻在半导体晶圆10的边缘处发生。
已经讨论了关于平版印刷曝光步骤的示例,但刻利用此方法审核的其它工艺步骤包括但不限于刻蚀步骤、沉积和化学-机械平坦化。
此评估还可使得能够改变工艺步骤,通过利用反馈来改变用于半导体晶圆10的其它晶圆的曝光工具20的工艺步骤控制数据23c,从而最终的半导体芯片落入规格内。如果存储在工艺测量数据库65中的工艺步骤测量数据23m指示工艺步骤中的一个或多个很可能导致最终的半导体芯片有问题,至少最终的半导体芯片的某些区域有问题,则使得评估能够更加详细地审核最终的半导体芯片的其它芯片。
示例:边缘安置误差
本公开的方法还可用于评估制造与金属线530的触点510中所用的工艺步骤,如图5A-5C中所示,且因此确定并校正任何边缘安置误差。图5A示出了理想的位置和大小,其中触点510直接接触金属线530且触点510和金属线530之间完全套刻。这是理想情形。
在图5B和5C中,虚线圆标记520表示金属线530上触点510的理想位置,如图5A中已知的。可看到,在图5B中,触点510关于理想位置520具有套刻误差且因此也与金属线530有套刻误差。图5B还示出了触点510的关键尺寸的误差(即触点510太小)。因此触点510和金属线530之间的套刻区域太小而没有在触点510和金属线530之间给予充分的电连接。
另一方面,在图5C中,金属线530的宽度通常太宽,即金属线530具有CD误差,且触点510关于金属线530的套刻误差与图5B的是完全相同的,因为在此示例中触点510没有CD误差。然而,在图5C的示例中,触点510和金属线530的套准区域对于良好的电连接是足够的。
此说明的方法允许审核导致边缘安置误差的、半导体制造工艺的工艺步骤并允许识别任何这种边缘安置误差的源头。尤其是,制造触点510结构的工艺步骤以及制造金属线530结构的工艺步骤,包括触点510和金属线530的大小和位置都可以审核。评估使得被识别的工艺步骤能够改变,以便利用反馈或前馈以修改制造步骤中曝光工具20的工艺步骤控制数据23c,从而最终的半导体芯片落入规格内。
如果评估显示半导体晶圆上光致抗蚀剂的图案会在不正确的位置产生触点510或具有不足够的大小,则评估还刻允许重新加工任何层。
示例:工艺隔离
图6A到6C示出了半导体晶圆600的示例,其包括覆盖有硬掩膜620的硅层610。图案630利用平版印刷工艺在涂有硬掩膜620的抗蚀剂表面创建。间隙壁材料640被沉积在硬掩膜620和图案630上。间隙壁640的厚度容易测量。刻蚀间隙壁层640和图案630,留下如图6B所示的间隙壁元件650。元件650之间的关键尺寸的测量难以测量。
在接下来的步骤中,刻蚀硬掩膜620,留下如图6C所示的硬掩膜元件660。硬掩膜元件660之间的关键尺寸可以准确测量。测量结果和相关联的工艺步骤参数23一起已经被存储在工艺测量数据库65中,且因此间隙壁元件650的关键尺寸可被评估以及间隙壁刻蚀工艺合格。
示例:关键尺寸仿真
图7A和图7B示出了利用图7C方法在半导体晶圆700上创建阶梯状(stepcase)结构。半导体晶圆包括多个层710,其需要被逐渐刻蚀掉以创建阶梯状结构。在图7示出的示例中,为了简单仅示出了两个步骤,但应理解,可具有大量的步骤,例如15个步骤。
在层710的上面创建掩膜730。CD1是半导体晶圆700的边缘和掩膜的边缘之间的关键尺寸。在第一步骤750中,掩膜730的一部分被修剪或移除,例如20nm,如图7A所示。第一关键尺寸CD1的值在步骤755被测量并存储在工艺测量数据库65中。层中最上面的层在如所示的步骤760被刻蚀掉。在接下来的步骤765中,掩膜730的进一步的部分被修剪,如图7B所示,且第二关键尺寸CD2在步骤770中被测量并存储在工艺测量数据库65中。应清楚的是,第二关键尺寸CD2的值将取决于CD1。最上面层710、720的接下来的层在步骤775中被刻蚀掉。
现在猜测,发现在步骤755中测量的CD1的值不同于期望的值,例如是22nm而不是20nm。本公开的系统和方法使得能够利用前馈步骤790的替代设置进行仿真来预测在步骤770中测量的第二关键尺寸CD2。在此示例中,前馈步骤790稍微修改步骤765的修剪,使得第二关键尺寸CD2与期望值相对应。这刻利用工艺模型67中适当的一个且由存储在数据库中的工艺步骤参数23来完成。
应理解的是,步骤750到790可以按需要重复许多次以创建阶梯结构。
为了例示和说明的目的已经呈现了本发明的优选实施例的前述说明。并不意图穷尽或限制本发明为所公开的精确形式,且安置前面的教导修改和变化是可能的或可由本发明的实践获得修改和变化。选择并描述实施例以解释本发明的原理及其实际应用以使得本领域技术人员能够在不同实施例中利用本发明为适于所考虑的特定使用。意图将本发明的范围用其附属的权利要求及其等同物进行限定。前述文档的每一个的整体都通过引用并入本文中。
附图标记
1 制造组件
10 半导体晶圆
11 曝光的半导体晶圆
12 曝光并显影的半导体晶圆
13 经处理的半导体晶圆
20 曝光工具
21 装载端口
22 衬底支架
23 工艺步骤参数
23c 工艺步骤测量数据
23p 后处理数据
23t 工艺步骤上下文数据
24 光源
25 投影系统
26 投影光学系统
27 测量传感器
28 光掩膜
29 卸载端口
30 曝光控制器
40 显影单元
50 刻蚀室
55 沉积室
60 处理器
65 工艺测量数据库
67 工艺模型
310a-d 层
320a-d 结构
400a-g 多个层
410 衬底
510 触点
520 理想位置
530 金属线

Claims (10)

1.一种评估和控制包括至少一层的半导体晶圆的半导体制造工艺的方法,所述半导体制造工艺具有工艺流程中的多个工艺步骤,所述方法包括:
在半导体晶圆的半导体制造工艺的工艺流程中的多个工艺步骤中的任何一个期间或之后收集工艺步骤参数,在此过程中处理所述半导体晶圆的至少一层,其中所述工艺步骤参数包括下述中的至少一个:
工艺步骤测量数据,其来自在所述半导体晶圆上执行的测量,
工艺步骤上下文数据,其与所述工艺步骤相关且包括所述至少一层的至少层名称,
工艺步骤控制数据,其与所述半导体制造工艺中使用的处理工具相关,以及
后工艺数据,其是基于工艺模型从所述工艺步骤测量数据导出的;以及
将所述工艺步骤参数以及所述至少一层的至少所述层名称与所述工艺流程中的所述多个工艺步骤中的一个或多个相关联;
基于所述工艺步骤参数评估所述半导体制造工艺,其中所述评估包括识别所述多个工艺步骤中的至少一个和所述至少一层的相关联层名称;以及
取决于所述评估的结果,重新加工所述半导体晶圆的所述至少一层,和/或修改所述工艺步骤控制数据。
2.如权利要求1所述的方法,其中所述工艺步骤测量数据包括下述中的至少一个:对准测量、套刻测量、找平测量、曝光剂量、关键尺寸测量、刻蚀测量或沉积测量。
3.如权利要求1所述的方法,所述工艺步骤上下文数据进一步包括下述中的至少一个:批次标识符、晶圆标识符、曝光日期、曝光配方、抗蚀剂和显影剂类型、包括批号、工艺室标识符、处理工具标识符、处理时间、半导体晶圆标识符、配方名称或类型、标线标识符、产品或技术名称、抗蚀剂名称、抗蚀剂批标识符、显影剂名称、显影剂批标识符、加热板标识符、显影室、冲洗液名称或数据文件名称。
4.如权利要求1所述的方法,其中所述工艺步骤控制数据包括下述中的至少一个:工艺配方指导参数、目标参数,所述目标参数包括曝光剂量、参考校正、场精细校正、晶圆网格校正、焦点校正、曝光校正、刻蚀时间、沉积时间、气流速率和溅射电压。
5.如权利要求1所述的方法,还包括从所述相关联的工艺步骤参数获得进一步的参数。
6.如权利要求1所述的方法,其中所述工艺步骤参数与所述一个或多个工艺步骤的所述关联基于工艺上下文参数被实施。
7.如权利要求1所述的方法,还包括基于所述评估将前馈校正或反馈修改中的至少一个应用于所述工艺步骤控制数据中的至少一个。
8.如权利要求1所述的方法,还包括将处理模型应用于所述相关联的工艺步骤参数和所述工艺步骤。
9.使用如权利要求1所述的方法进行评估偏离检测、重要性分析、间接套刻测量中的至少一个或评估边缘安置误差。
10.一种用于包括至少一层的半导体晶圆的半导体制造工艺的半导体制造组件,所述半导体制造工艺具有多个工艺步骤,所述半导体制造组件包括:
曝光工具;
显影单元;
刻蚀室或沉积室中的至少一个;
处理器;以及
工艺步骤测量数据库,所述工艺步骤测量数据库在半导体晶圆的半导体制造工艺的工艺流程中的多个工艺步骤中的任何一个期间或之后从下述中的至少一个收集工艺参数:所述曝光工具、所述显影单元、所述沉积室和/或所述刻蚀室;
其中工作中的所述处理器从所述工艺步骤测量数据库检索工艺步骤参数,所述工艺步骤参数包括下述中的至少一个:
工艺步骤测量数据,其来自在所述半导体晶圆上执行的测量,
后工艺数据,其是基于工艺模型从所述工艺步骤测量数据导出的,工艺步骤上下文数据,其与所述工艺步骤相关且包括所述至少一层的层名称,或
工艺步骤控制数据,其与所述半导体制造工艺中使用的处理工具相关;
将所述工艺步骤参数以及所述至少一层的至少所述层名称与所述工艺流程中的所述多个工艺步骤中的一个或多个相关联;
基于所述工艺步骤参数评估所述半导体制造工艺,其中所述评估包括识别所述多个工艺步骤中的至少一个和所述至少一层的相关联层名称,以及
取决于所述评估的结果,控制所述半导体晶圆的所述至少一层的重新加工,和/或修改与所述多个工艺步骤中的所识别至少一个相关联的所述工艺步骤控制数据。
CN201710063734.8A 2016-02-01 2017-02-03 用于评估半导体制造工艺的方法和装置 Active CN107039304B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/011,962 2016-02-01
US15/011,962 US10310490B2 (en) 2016-02-01 2016-02-01 Method and apparatus of evaluating a semiconductor manufacturing process

Publications (2)

Publication Number Publication Date
CN107039304A CN107039304A (zh) 2017-08-11
CN107039304B true CN107039304B (zh) 2023-05-16

Family

ID=59387046

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710063734.8A Active CN107039304B (zh) 2016-02-01 2017-02-03 用于评估半导体制造工艺的方法和装置

Country Status (4)

Country Link
US (1) US10310490B2 (zh)
KR (1) KR102435144B1 (zh)
CN (1) CN107039304B (zh)
TW (1) TWI721092B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6262137B2 (ja) * 2012-09-26 2018-01-17 株式会社日立国際電気 統合管理システム、管理装置、基板処理装置の情報表示方法及びプログラム
US10234769B2 (en) * 2017-05-22 2019-03-19 Cymer, Llc Monitoring system for an optical lithography system
CN111316412A (zh) * 2017-11-03 2020-06-19 东京毅力科创株式会社 功能性微电子装置的产出的提高
CN111937490B (zh) * 2018-04-20 2023-07-18 堺显示器制品株式会社 有机el装置及其制造方法
US11244873B2 (en) * 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
US11551954B2 (en) * 2019-11-21 2023-01-10 Nanya Technology Corporation Advanced process control system
US20220011757A1 (en) * 2020-06-22 2022-01-13 Changxin Memory Technologies, Inc. Laser Machine Automatic Operating Method and System
CN112612255B (zh) * 2020-12-24 2021-10-12 上海赛美特软件科技有限公司 数据采集方法、装置、电子设备、存储介质
WO2022137219A1 (ko) * 2020-12-24 2022-06-30 하나 마이크론㈜ 반도체 후공정 시스템에서 lot 추적 방법 및 장치
CN113050389B (zh) * 2021-03-30 2022-12-02 长鑫存储技术有限公司 光刻工艺条件添加方法及装置、设计系统、介质和设备
US11868119B2 (en) 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection
US11947712B2 (en) 2021-09-27 2024-04-02 International Business Machines Corporation Secure identification of wafer and chip
US20240120186A1 (en) * 2022-10-10 2024-04-11 Kla Corporation Plasma hypermodel integrated with feature-scale profile model for accelerated etch process development

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5866437A (en) 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
JP2003502771A (ja) * 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
US6625512B1 (en) * 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
TWI280603B (en) * 2003-09-08 2007-05-01 Toshiba Corp Manufacturing system of semiconductor device and manufacturing method of semiconductor device
US7394534B1 (en) 2003-11-19 2008-07-01 Kla-Tencor Corporation Process excursion detection
CN1947062A (zh) * 2004-02-23 2007-04-11 Asml荷兰有限公司 基于散射测量数据确定工艺参数值的方法
US7076320B1 (en) * 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)
US7526354B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US7352439B2 (en) * 2006-08-02 2008-04-01 Asml Netherlands B.V. Lithography system, control system and device manufacturing method
US7827519B2 (en) * 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US7620921B2 (en) * 2007-04-27 2009-11-17 International Business Machines Corporation IC chip at-functional-speed testing with process coverage evaluation
JP2011029479A (ja) * 2009-07-28 2011-02-10 Panasonic Corp 半導体装置の製造方法及び製造システム
US8396583B2 (en) 2010-03-25 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for implementing virtual metrology in semiconductor fabrication
US8849438B2 (en) * 2010-11-19 2014-09-30 Applied Materials, Inc. Factory level process and final product performance control system
KR20120064427A (ko) * 2010-12-09 2012-06-19 삼성전자주식회사 반도체 공정 산포의 제어 방법
US8954184B2 (en) * 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
US9153506B2 (en) * 2012-07-06 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for through silicon via yield

Also Published As

Publication number Publication date
CN107039304A (zh) 2017-08-11
US20170221741A1 (en) 2017-08-03
KR20170091536A (ko) 2017-08-09
KR102435144B1 (ko) 2022-08-22
US10310490B2 (en) 2019-06-04
TWI721092B (zh) 2021-03-11
TW201740236A (zh) 2017-11-16

Similar Documents

Publication Publication Date Title
CN107039304B (zh) 用于评估半导体制造工艺的方法和装置
US11086229B2 (en) Method to predict yield of a device manufacturing process
US6458605B1 (en) Method and apparatus for controlling photolithography overlay registration
US6614540B1 (en) Method and apparatus for determining feature characteristics using scatterometry
US6815232B2 (en) Method and apparatus for overlay control using multiple targets
CN110573966B (zh) 用于优化光刻工艺的方法和装置
US20110051150A1 (en) Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
US11392044B2 (en) Method of determining a position of a feature
US6774998B1 (en) Method and apparatus for identifying misregistration in a complimentary phase shift mask process
CN108713166A (zh) 计算用于控制制造工艺的校正的方法、计量设备、器件制造方法和建模方法
TWI621025B (zh) 匹配記錄的方法、維護排程的方法、及設備
US6948149B2 (en) Method of determining the overlay accuracy of multiple patterns formed on a semiconductor wafer
US11366397B2 (en) Method and apparatus for simulation of lithography overlay
KR102094019B1 (ko) 반도체 웨이퍼 처리 분석 방법 및 장치
TWI802369B (zh) 多步驟製程檢測方法
US10699971B2 (en) Method for processing of a further layer on a semiconductor wafer
KR20210018694A (ko) 리소그래피로 처리된 반도체 장치에 대한 프로세스 제어 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant