CN106206515B - 倒装芯片及形成倒装芯片的方法 - Google Patents

倒装芯片及形成倒装芯片的方法 Download PDF

Info

Publication number
CN106206515B
CN106206515B CN201510319478.5A CN201510319478A CN106206515B CN 106206515 B CN106206515 B CN 106206515B CN 201510319478 A CN201510319478 A CN 201510319478A CN 106206515 B CN106206515 B CN 106206515B
Authority
CN
China
Prior art keywords
convex block
chip
flip
graph
convex
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510319478.5A
Other languages
English (en)
Other versions
CN106206515A (zh
Inventor
方家伟
黄升佑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fortieth floor Co.
Original Assignee
MediaTek Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=56136468&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN106206515(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by MediaTek Inc filed Critical MediaTek Inc
Publication of CN106206515A publication Critical patent/CN106206515A/zh
Application granted granted Critical
Publication of CN106206515B publication Critical patent/CN106206515B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14132Square or rectangular array being non uniform, i.e. having a non uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14133Square or rectangular array with a staggered arrangement, e.g. depopulated array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14134Square or rectangular array covering only portions of the surface to be connected
    • H01L2224/14135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14134Square or rectangular array covering only portions of the surface to be connected
    • H01L2224/14136Covering only the central area of the surface to be connected, i.e. central arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/14177Combinations of arrays with different layouts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/14179Corner adaptations, i.e. disposition of the bump connectors at the corners of the semiconductor or solid-state body

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明实施例公开了一种倒装芯片及形成倒装芯片的方法。其中倒装芯片包括:非均匀功率域;以及非均匀凸块图,该非均匀凸块图由多个凸块形成并且匹配该非均匀功率域。进一步地,该多个凸块中的第一部分排列成第一图形,该多个凸块中的第二部分排列成第二图形,其中第一图形不同于第二图形,并且第一图形是由三个凸块形成的等边三角形,第二图形是由四个凸块形成的正方形。本发明实施例,具有能够与功率域相符、降低电阻压降和在每个功率域均具有最大凸块数目的优点。

Description

倒装芯片及形成倒装芯片的方法
技术领域
本发明涉及半导体技术领域,尤其涉及一种倒装芯片(flip chip)及形成倒装芯片的方法。
背景技术
在各种各样的文献中已经公开和讨论了使用有规律(regular)的成排凸块(inline-bumps)或交错凸块(stagger-bumps)的应用。请参考图1,图1是传统倒装芯片100的简化示意图。如图1所示,传统倒装芯片100仅使用有规律的成排凸块,因此传统倒装芯片100不适合非均匀功率域(non-uniform power domains),如此导致差的电阻压降(IRdrops)。
请参考图2,图2是另一种传统倒装芯片200的简化示意图。如图2所示,传统倒装芯片200仅使用有规律的交错凸块,因此传统倒装芯片200不适合非均匀功率域,如此导致差的电阻压降。
发明内容
有鉴于此,本发明实施例提供了一种倒装芯片及形成倒装芯片的方法,能够与功率域相符、降低电阻压降以及在每个功率域具有最大的凸块数目。
本发明实施例提供了一种倒装芯片,包括:
非均匀功率域;以及
非均匀凸块图,所述非均匀凸块图由多个凸块形成并且匹配所述非均匀功率域。
其中,所述多个凸块中的第一部分排列成第一图形,所述多个凸块中的第二部分排列成第二图形,所述第一图形不同于所述第二图形。
其中,所述第一部分与所述第二部分不同,或者所述第一部分与所述第二部分部分相同。
其中,所述第一图形是由三个凸块形成的等边三角形,所述第二图形是由四个凸块形成的正方形。
其中,所述非均匀凸块图是由多个所述第一图形和多个所述第二图形形成。
其中,所述非均匀凸块图包括:所述第一图形和所述第二图形组合而成的图形。
其中,当所述倒装芯片内没有足够的凸块空间时,删除所述多个凸块中的至少一个凸块;
和/或者,删除在所述倒装芯片的边界之外的凸块;
和/或者,当所述多个凸块中存在与所述信号路线的距离在预设范围内的凸块时,偏移所述与信号路线的距离在预设范围内的凸块。
本发明实施例提供了一种倒装芯片,包括:
多个凸块,所述多个凸块中的第一部分排列成第一图形,所述多个凸块的第二部分排列成第二图形,所述第一图形不同于所述第二图形;
其中,所述第一图形是由三个凸块形成的等边三角形,所述第二图形是由四个凸块形成的正方形。
其中,所述第一部分与所述第二部分不同,或者所述第一部分与所述第二部分部分相同。
其中,进一步包括:由多个所述第一图形和多个所述第二图形形成的凸块图。
其中,所述凸块图包括:由所述第一图形和所述第二图形组合而成的图形。
其中,当所述倒装芯片内没有足够的凸块空间时,删除所述多个凸块中的至少一个凸块;
和/或者,删除在所述倒装芯片的边界之外的凸块;
和/或者,当所述多个凸块中存在与所述信号路线的距离在预设范围内的凸块时,偏移所述与信号路线的距离在预设范围内的凸块。
本发明实施例提供了一种形成倒装芯片的方法,所述倒装芯片包括:多个凸块,所述方法包括:
将所述多个凸块中的第一部分排列成第一图形;以及
将所述多个凸块中的第二部分排列成第二图形;
所述第一图形不同于所述第二图形,其中所述第一图形是由三个凸块排列成的等边三角形,所述第二图形是由四个凸块排列成的正方形。
其中,所述第一部分与所述第二部分不同,或者所述第一部分与所述第二部分部分相同。
其中,进一步包括:由多个所述第一图形和多个所述第二图形形成凸块图。
其中,所述凸块图包括:组合所述多个第一图形之一和所述多个第二图形之一而形成的图形。
其中,所述方法还包括:当所述倒装芯片内没有足够的凸块空间时,删除所述多个凸块中的至少一个凸块;
和/或者,删除在所述倒装芯片的边界之外的凸块;
和/或者,当所述多个凸块中存在与所述信号路线的距离在预设范围内的凸块时,偏移所述与信号路线的距离在预设范围内的凸块。
本发明实施例提供了一种形成倒装芯片的方法,包括:
形成非均匀功率域;以及
由多个凸块形成非均匀凸块图,以匹配所述非均匀功率域。
其中,进一步包括:
将所述多个凸块中的第一部分排列成第一图形;以及
将所述多个凸块中的第二部分排列成第二图形。
其中,所述第一部分与所述第二部分不同,或者所述第一部分与所述第二部分部分相同。
其中,所述第一图形是由三个凸块排列成的等边三角形,所述第二图形是由四个凸块排列成的正方形。
其中,进一步包括:
由多个所述第一图形和多个所述第二图形形成所述凸块图。
其中,所述凸块图包括:所述多个第一图形之一和所述多个第二图形之一组合而成的图形。
其中,所述方法还包括:当所述倒装芯片内没有足够的凸块空间时,删除所述多个凸块中的至少一个凸块;
和/或者,删除在所述倒装芯片的边界之外的凸块;
和/或者,当所述多个凸块中存在与所述信号路线的距离在预设范围内的凸块时,偏移所述与信号路线的距离在预设范围内的凸块。
本发明实施例的有益效果是:
本发明实施例,在倒装芯片内可以基于功率分布而非均匀放置凸块,因此相比于传统凸块图形,本发明实施例具有能够与功率域相符、降低电阻压降和在每个功率域均具有最大凸块数目的优点。
附图说明
图1是一种传统的倒装芯片100的简化示意图;
图2是另一种传统的倒装芯片200的简化示意图;
图3是根据本发明第一实施例的倒装芯片的简化示意图;
图4是第一图形、第二图形以及第一图形和第二图形的组合的简化示意图;
图5是根据本发明第二实施例的倒装芯片的简化示意图;
图6是根据图3和图5的倒装芯片,形成包含多个凸块的倒装芯片的方法的流程示意图;
图7是根据图3和图5中的倒装芯片,形成倒装芯片的方法的流程示意图。
具体实施方式
为了使本发明所解决的技术问题、技术方案及有益效果更加清楚明白,以下结合附图及实施例,对本发明进行进一步详细说明。应当理解,此处所描述的具体实施例仅仅用以解释本发明,并不用于限定本发明。
在本申请说明书及权利要求当中使用了某些词汇来指称特定的组件。本领域技术人员应可理解,硬件制造商可能会用不同的名词来称呼同一个组件。本说明书及权利要求并不以名称的差异作为区分组件的方式,而是以组件在功能上的差异作为区分的准则。在通篇说明书及权利要求当中所提及的“包括”、“包含”为一开放式的用语,故应解释成“包括(含)但不限定于”。另外,“耦接”一词在此为包括任何直接及间接的连接手段。因此,若文中描述第一装置耦接于第二装置,则代表所述第一装置可直接连接至所述第二装置,或透过其它装置或连接手段间接地连接至所述第二装置。
请参考图3,图3是根据本发明第一实施例的倒装芯片500的简化示意图。其中,所述倒装芯片500可以应用于SOC(System on a Chip,片上系统)集成电路。如图3所示,倒装芯片500包括:多个凸块510,其中凸块510可以包括:电源凸块和接地凸块。凸块510中的一部分排列成第一图形,凸块510中的一部分排列成第二图形,其中第二图形不同于第一图形。倒装芯片500包括:由多个第一图形和多个第二图形形成的凸块图(bump map),如图3中所示。请参考图4,图4是第一图形、第二图形以及第一图形和第二图形的组合(亦即等边三角形和正方形的组合)的简化示意图。如图4所示,第一图形可以是由三个凸块排列成的等边三角形520,第二图形可以是由四个凸块510排列成的正方形530。
如图3所示,倒装芯片500包括:非均匀功率域540、550以及由多个凸块510形成的与非均匀功率域540、550相符的非均匀凸块图。在这个实施例中,当没有足够的凸块空间时,删除了两个凸块511;由于在芯片边界外而删除了5个凸块512。如此,本发明在倒装芯片中,可以基于功率分布而非均匀放置凸块510。并且,相比于传统凸块图形,本发明实施例具有优点:与功率域相符、降低了电阻压降和在每个功率域具有最多的凸块数目。
请参考图5,图5是根据本发明第二实施例的倒装芯片的简化示意图。其中倒装芯片600可以应用于SOC集成电路。如图5所示,倒装芯片600包括:多个凸块610,其中凸块610可以包括:电源凸块和接地凸块。凸块610中的一部分排列成第一图形,凸块610中的一部分排列成第二图形,其中第一图形不同于第二图形。倒装芯片600包括:由多个第一图形和多个第二图形形成的凸块图,如图5所示。请参考图4,是第一图形、第二图形以及第一图形和第二图形的组合(亦即等边三角形和正方形的组合)的简化示意图。如图4所示,第一图形可以是由三个凸块610排列成的等边三角形620,第二图形可以是由四个凸块610排列成的正方形630。
如图5所示,倒装芯片600包括:非均匀功率域640、650,多个凸块610形成的符合非均匀功率域640、650的非均匀凸块图,以及信号路线(signal routing)660。在这个实施例中,类似于本发明的第一实施例,由于没有足够的凸块空间而删除了两个凸块(未示出),并且由于在芯片边界外而删除了5个凸块(未示出)。另外,因为信号路线660接近(如距离在预设范围内)凸块611而将该凸块611偏移。如此,在本发明在倒装芯片600中,可以基于功率分布而非均匀放置凸块610。相比于传统凸块图形,本发明具有优点:与功率域相符、降低了电阻压降、偏移凸块以增强信号路线以及在每个功率域具有最多的凸块数目。
请参考图6,是根据以上实施例中的倒装芯片500、600,形成包含多个凸块的倒装芯片的方法的流程示意图。如果提供的结果实质上相同,那么图6中的步骤不需要按照图6中所示的精确顺序执行。在本发明实施例中,根据倒装芯片500、600的以上实施例的方法包括如下步骤:
步骤700:开始。
步骤702:以第一图形排列凸块中的一部分。
步骤704:以第二图形排列凸块中的一部分。其中第一图形不同于第二图形。其中第一图形可以是由三个凸块排列成的等边三角形,第二图形可以是由四个凸块形成的正方形。
另外,以上方法可进一步包括:由多个第一图形和多个第二图形形成凸块图。以上方法也可进一步包括:当至少一个凸块没有足够的凸块空间时,删除所述至少一个凸块;或者,以上方法可进一步包括:当至少一个凸块在芯片边界外时,删除所述至少一个凸块;或者,以上方法可进一步包括:当信号路线接近至少一个凸块时,偏移所述至少一个凸块。
请参考图7,图7是根据以上实施例中的倒装芯片500、600,形成倒装芯片的方法的流程示意图。如果提供的结果实质上相同,那么图7中的步骤不需要按照图7中所示的精确顺序执行。在本发明中,按照倒装芯片的以上实施例的方法包括如下步骤:
步骤800:开始。
步骤802:形成非均匀功率域。
步骤804:由多个凸块形成匹配所述非均匀功率域的非均匀凸块图。
另外,以上方法可进一步包括:以第一图形排列凸块中的一部分;以第二图形排列凸块中的一部分;其中,第一图形不同于第二图形,并且第一图形可以是由三个凸块形成的等边三角形,第二图形可以是由四个凸块形成的正方形。以上方法可进一步包括:由多个第一图形和多个第二图形形成凸块图。此外,以上方法可进一步包括:当至少一个凸块没有足够的凸块空间时,删除所述至少一个凸块;或者,以上方法可进一步包括:当至少一个凸块在芯片边界外时,删除所述至少一个凸块。或者,以上方法可进一步包括:当信号路线接近至少一个凸块时,偏移所述至少一个凸块。
本发明在倒装芯片中,可以基于功率分布而非均匀放置凸块。相比于传统凸块图形,本发明具有优点:与功率域相符、降低了电阻压降、偏移凸块以加强信号路线以及在每个功率域中具有最多的凸块数目。
以上所述仅为本发明的较佳实施例而已,并不用以限制本发明,凡在本发明的精神和原则之内所作的任何修改、等同替换和改进等,均应包含在本发明的保护范围之内。

Claims (20)

1.一种倒装芯片,其特征在于,包括:
非均匀功率域;以及
非均匀凸块图,所述非均匀凸块图由多个凸块形成并且所述多个凸块基于所述非均匀功率域的功率分布而非均匀放置以匹配所述非均匀功率域。
2.如权利要求1所述的倒装芯片,其特征在于,所述多个凸块中的第一部分排列成第一图形,所述多个凸块中的第二部分排列成第二图形,所述第一图形不同于所述第二图形。
3.如权利要求2所述的倒装芯片,其特征在于,所述第一图形是由三个凸块形成的等边三角形,所述第二图形是由四个凸块形成的正方形。
4.如权利要求3所述的倒装芯片,其特征在于,所述非均匀凸块图是由多个所述第一图形和多个所述第二图形形成。
5.如权利要求2、3或者4所述的倒装芯片,其特征在于,所述非均匀凸块图包括:所述第一图形和所述第二图形组合而成的图形。
6.如权利要求1所述的倒装芯片,其特征在于,当所述倒装芯片内没有足够的凸块空间时,删除所述多个凸块中的至少一个凸块;
和/或,删除在所述倒装芯片的边界之外的凸块;
和/或,当所述多个凸块中存在与信号路线的距离在预设范围内的凸块时,偏移所述与所述信号路线的距离在预设范围内的凸块。
7.一种倒装芯片,其特征在于,包括:
多个凸块,所述多个凸块中的第一部分排列成第一图形,所述多个凸块的第二部分排列成第二图形,所述第一图形不同于所述第二图形;
其中,所述第一图形是由三个凸块形成的等边三角形,所述第二图形是由四个凸块形成的正方形。
8.如权利要求7所述的倒装芯片,其特征在于,进一步包括:由多个所述第一图形和多个所述第二图形形成的凸块图。
9.如权利要求8所述的倒装芯片,其特征在于,所述凸块图包括:由所述第一图形和所述第二图形组合而成的图形。
10.如权利要求7所述的倒装芯片,其特征在于,当所述倒装芯片内没有足够的凸块空间时,删除所述多个凸块中的至少一个凸块;
和/或,删除在所述倒装芯片的边界之外的凸块;
和/或,当所述多个凸块中存在与信号路线的距离在预设范围内的凸块时,偏移所述与所述信号路线的距离在预设范围内的凸块。
11.一种形成倒装芯片的方法,所述倒装芯片包括:多个凸块,其特征在于,所述方法包括:
将所述多个凸块中的第一部分排列成第一图形;以及
将所述多个凸块中的第二部分排列成第二图形;
所述第一图形不同于所述第二图形,其中所述第一图形是由三个凸块排列成的等边三角形,所述第二图形是由四个凸块排列成的正方形。
12.如权利要求11所述的方法,其特征在于,进一步包括:
由多个所述第一图形和多个所述第二图形形成凸块图。
13.如权利要求12所述的方法,其特征在于,所述凸块图包括:组合所述多个第一图形之一和所述多个第二图形之一而形成的图形。
14.如权利要求11所述的方法,其特征在于,所述方法还包括:当所述倒装芯片内没有足够的凸块空间时,删除所述多个凸块中的至少一个凸块;
和/或,删除在所述倒装芯片的边界之外的凸块;
和/或,当所述多个凸块中存在与信号路线的距离在预设范围内的凸块时,偏移所述与所述信号路线的距离在预设范围内的凸块。
15.一种形成倒装芯片的方法,其特征在于,包括:
形成非均匀功率域;以及
基于所述非均匀功率域的功率分布而非均匀地放置多个凸块,以形成匹配所述非均匀功率域的非均匀凸块图。
16.如权利要求15所述的方法,其特征在于,进一步包括:
将所述多个凸块中的第一部分排列成第一图形;以及
将所述多个凸块中的第二部分排列成第二图形。
17.如权利要求16所述的方法,其特征在于,所述第一图形是由三个凸块排列成的等边三角形,所述第二图形是由四个凸块排列成的正方形。
18.如权利要求16或者17所述的方法,其特征在于,进一步包括:
由多个所述第一图形和多个所述第二图形形成所述凸块图。
19.如权利要求18所述的方法,其特征在于,所述凸块图包括:所述多个第一图形之一和所述多个第二图形之一组合而成的图形。
20.如权利要求15所述的方法,其特征在于,所述方法还包括:当所述倒装芯片内没有足够的凸块空间时,删除所述多个凸块中的至少一个凸块;
和/或,删除在所述倒装芯片的边界之外的凸块;
和/或,当所述多个凸块中存在与信号路线的距离在预设范围内的凸块时,偏移所述与所述信号路线的距离在预设范围内的凸块。
CN201510319478.5A 2014-12-29 2015-06-11 倒装芯片及形成倒装芯片的方法 Active CN106206515B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462097137P 2014-12-29 2014-12-29
US62/097,137 2014-12-29
US14/636,137 US9379079B1 (en) 2014-12-29 2015-03-02 Flip chip scheme and method of forming flip chip scheme
US14/636,137 2015-03-02

Publications (2)

Publication Number Publication Date
CN106206515A CN106206515A (zh) 2016-12-07
CN106206515B true CN106206515B (zh) 2019-03-29

Family

ID=56136468

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510319478.5A Active CN106206515B (zh) 2014-12-29 2015-06-11 倒装芯片及形成倒装芯片的方法

Country Status (2)

Country Link
US (1) US9379079B1 (zh)
CN (1) CN106206515B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9557370B2 (en) * 2012-02-10 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of improving bump allocation for semiconductor devices and semiconductor devices with improved bump allocation
JP6818534B2 (ja) * 2016-12-13 2021-01-20 キヤノン株式会社 プリント配線板、プリント回路板及び電子機器
CN107393898B (zh) * 2017-06-15 2019-11-29 华为机器有限公司 封装基板和半导体集成器件
US10477672B2 (en) * 2018-01-29 2019-11-12 Hewlett Packard Enterprise Development Lp Single ended vias with shared voids
CN115132694A (zh) * 2021-03-26 2022-09-30 华为技术有限公司 一种封装基板、半导体器件及电子设备
CN113133219B (zh) * 2021-04-25 2022-09-09 无锡江南计算技术研究所 一种基于交错阵列封装ddr4信号分配方法、芯片
US20240020260A1 (en) * 2022-07-13 2024-01-18 Global Unichip Corporation Communication interface structure and die-to-die package

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102214627A (zh) * 2010-04-07 2011-10-12 美士美积体产品公司 具有经配置以减轻因应力所致的故障的凸块组合件的晶片级芯片尺寸封装装置
CN102842564A (zh) * 2012-09-12 2012-12-26 矽力杰半导体技术(杭州)有限公司 集成开关电源的倒装封装装置及其倒装封装方法
CN103165599A (zh) * 2011-12-19 2013-06-19 Arm有限公司 集成电路和在集成电路内提供静电放电保护的方法
CN103975427A (zh) * 2011-10-07 2014-08-06 沃尔泰拉半导体公司 互连衬底的功率管理应用

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6965165B2 (en) * 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
KR101218011B1 (ko) * 2003-11-08 2013-01-02 스태츠 칩팩, 엘티디. 플립 칩 인터커넥션 패드 레이아웃 반도체 패키지 및 그 생산 방법
US7081672B1 (en) 2005-03-07 2006-07-25 Lsi Logic Corporation Substrate via layout to improve bias humidity testing reliability
US8350375B2 (en) * 2008-05-15 2013-01-08 Lsi Logic Corporation Flipchip bump patterns for efficient I-mesh power distribution schemes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102214627A (zh) * 2010-04-07 2011-10-12 美士美积体产品公司 具有经配置以减轻因应力所致的故障的凸块组合件的晶片级芯片尺寸封装装置
CN103975427A (zh) * 2011-10-07 2014-08-06 沃尔泰拉半导体公司 互连衬底的功率管理应用
CN103165599A (zh) * 2011-12-19 2013-06-19 Arm有限公司 集成电路和在集成电路内提供静电放电保护的方法
CN102842564A (zh) * 2012-09-12 2012-12-26 矽力杰半导体技术(杭州)有限公司 集成开关电源的倒装封装装置及其倒装封装方法

Also Published As

Publication number Publication date
US20160190083A1 (en) 2016-06-30
US9379079B1 (en) 2016-06-28
CN106206515A (zh) 2016-12-07

Similar Documents

Publication Publication Date Title
CN106206515B (zh) 倒装芯片及形成倒装芯片的方法
CN104268015B (zh) 嵌入式设备高可用性定时器的实现方法及定时器
EP2874135A3 (en) Integrated Circuit Provisioning Using Physical Unclonable Function
SG157351A1 (en) Hybrid conductive vias including small dimension active surface ends and larger dimension back side ends, semiconductor devices including the same, and associated methods
EP2871612A3 (en) Structure model creation from a three dimensional surface
EP2696365A3 (en) Semiconductor buffer structure, semiconductor device including the same, and method of manufacturing semiconductor device using semiconductor buffer structure
EP1294022A3 (en) Electronic module including a cooling substrate having a fluid cooling circuit therein and related methods
EP3343594A3 (en) Semiconductor device
CN108285274A (zh) 防粘片刻蚀篮具、防粘片组件及玻璃片的刻蚀方法
CN204176141U (zh) 线束固定扣
CN203932046U (zh) 窄划片槽的晶圆结构
WO2007048387A3 (de) Halbleiterbauelement mit pn-übergang und verfahren zur herstellung desselben
WO2018048277A3 (ko) 이차전지용 전극의 제조방법 및 이로부터 제조된 전극
CN105845465B (zh) 芯片定位装置以及芯片定位方法
CN209544341U (zh) 半导体器件
CN106409808B (zh) 三维螺旋电感
CN205984979U (zh) 一种具有压敏电阻的esd保护低压超结mosfet
CN105467168B (zh) 一种设有陶瓷针盘的测试座
CN203867306U (zh) 防技术开锁弹子锁
CN104102901A (zh) 高精度指纹识别系统
CN104864665B (zh) 排水管组件和冰箱
CN205829719U (zh) 一种基于分布式数据库的多租户存储系统
CN204719626U (zh) 一种设有倾斜过孔的高密板
江慎旺 et al. Recognition algorithm for fault jumper connection plate of transmission network based on UAV
CN208861777U (zh) 一种油浸式变压器及其固定装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20211223

Address after: Illinois, America

Patentee after: Fortieth floor Co.

Address before: China Taiwan Hsinchu Science Park Hsinchu city Dusing a road No.

Patentee before: MEDIATEK Inc.