CN106066914A - 考虑串扰效应的静态时序分析方法 - Google Patents

考虑串扰效应的静态时序分析方法 Download PDF

Info

Publication number
CN106066914A
CN106066914A CN201610382152.1A CN201610382152A CN106066914A CN 106066914 A CN106066914 A CN 106066914A CN 201610382152 A CN201610382152 A CN 201610382152A CN 106066914 A CN106066914 A CN 106066914A
Authority
CN
China
Prior art keywords
crosstalk
line
time delay
load
storehouse
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610382152.1A
Other languages
English (en)
Other versions
CN106066914B (zh
Inventor
王健
张军
来金梅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fudan University
Original Assignee
Fudan University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fudan University filed Critical Fudan University
Priority to CN201610382152.1A priority Critical patent/CN106066914B/zh
Publication of CN106066914A publication Critical patent/CN106066914A/zh
Application granted granted Critical
Publication of CN106066914B publication Critical patent/CN106066914B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明属于集成电路技术领域,具体为考虑串扰效应的静态时序分析方法。本发明提出了一种基于查表模型的串扰建模方式和对应的串扰分析算法。首先由版图提取出串扰线电路,然后提取出寄生参数,再采用批处理仿真方式进行精确仿真,进而得到串扰延时库。之后采用串扰分析算法,分为串扰情况分析算法和串扰延时计算算法。前者用来分析电路的串扰情况,如受害线与攻击线数目,受害线串扰延时值等信息,后者用来计算电路精确的串扰延时,通过跳变时间差及负载计算法,加之多攻击线的串扰处理算法,最终基于串扰延时库,通过查表法,线性插值法以求取精确的串扰延时值。本发明具有建模准确、可移植性好、精确性高、通用性强等特点。

Description

考虑串扰效应的静态时序分析方法
技术领域
本发明属于集成电路技术领域,具体涉及考虑串扰效应的静态时序分析方法。
背景技术
随着集成电路工艺的发展,互连线尺寸及互连线间距越来越小,导致相邻互连线间的耦合电容越来越大,进而引发的串扰效应越来越严重,对于静态时序分析而言,串扰效应必须考虑。
在串扰建模方面,文献[2]中串扰效应建模未考虑到受害线与攻击线的跳变方向和时间差对串扰延时的影响,导致串扰建模因素考虑不全面;文献[3]对串扰建模采用开关因子法,提出开关因子的上下限为2和0,但文献[4]表明开关因子上下限为3和-1,由此可看出开关因子上下限存在争议,而开关因子上下限又会影响到串扰建模的精确性。
其次是串扰处理算法方面,文献[5]提出“时间窗口法”,但该方法认为两线存在“时间窗口”交叠即为耦合线对,但因为跳变并不会在“时间窗口”任意时刻均发生,故存在许多虚假耦合线对,结果过于悲观;文献[6]提出“跳变图”的方法,大大提高了精度,但时间代价过大。
参考文献:
[1]Sylvester D, Keutzer K. Getting to the Bottom of Deep Submicron[C]//iccad. IEEE Computer Society, 1998:203-211.
[2]Das D, Rahaman H. Unified model for analyzing timing delay andcrosstalk effects in Carbon Nanotube interconnects[C]// Quality ElectronicDesign (ASQED), 2012 4th Asia Symposium on. IEEE, 2012:100-109.
[3]Sapatnekar S S. A timing model incorporating the effect of crosstalkon delay and its application to optimal channel routing[J]. Computer-AidedDesign of Integrated Circuits and Systems, IEEE Transactions on, 2000, 19(5):550-559.
[4]Muddu S, Sarto E, Kahng A B. On Switch Factor Based Analysis ofCoupled RC Interconnects[C]// dac. IEEE Computer Society,2000:79-84.
[5]Chen P, Kirkpatrick D A, Keutzer K. Switching window computation forstatic timing analysis in presenceof crosstalk noise[C]//InternationalConference on Computer-aided Design. 2000:331-337
[6]Zhang M, Li H, Li X. Path Delay Test Generation Toward Activation ofWorst Case Coupling Effects[J]. IEEE Transactions on Very Large ScaleIntegration Systems, 2011, 19(11):1969-1982。
发明内容
本发明的目的在于提供一种可移植性好、精确度高、通用性强的考虑串扰效应的静态时序分析方法。
本发明提供的考虑串扰效应的静态时序分析方法,包括提出一种基于HSPICE模型的串扰延时库的建模方式,并提出相对应的串扰处理算法。具体步骤如下:
(1)首先,根据芯片版图构建串扰线电路,然后采用批处理仿真方式得到串扰延时库;
(2)然后,采用串扰处理算法计算出跳变时间差及负载,并进行多攻击线的串扰处理;
(3)最终,基于串扰延时库的查找表法进行分析计算,得到精确的串扰延时值。
本发明步骤(1)中,提出了一种基于HSPICE模型的串扰延时库的建模方式,即首先由芯片版图提取出串扰线电路,然后提取出寄生参数,再以批处理方式进行仿真,最终得到每种串扰线电路的串扰延时库,由此保证了串扰效应建模的精确性;另外,由于可以直接从串扰延时库中获取串扰延时值,无需进行复杂的迭代计算,提高了静态时序分析的速度,其流程图如图2所示。
本发明步骤(2)中,所述串扰处理算法,其流程图如图3,首先通过分析电路网表生成耦合线对容器,然后遍历该容器进行处理。对于耦合线对,先判断其是否为多攻击线情况,若是,则采用多攻击线的串扰处理算法处理,否则直接进行跳变时间差及负载计算,在获取到跳变时间差和负载的具体值后,基于前面构建的串扰延时库采用查表法获取精确的串扰延时值,最终更新至路径延时值上。该流程的重点在于:跳变时间差及负载计算法、多攻击线的串扰处理算法以及基于串扰延时库的查表法三个部分。对于多攻击线的串扰处理算法,研究发现串扰延时值与耦合线对数目大致是呈线性关系的。针对这种线性关系,在多线耦合情况下,本发明只需统计耦合线对数目,然后在原先的二线耦合的串扰延时上进行线性计算即可。对于跳变时间差,本发明采用关键路径搜索算法计算,关键路径搜索算法基于拓扑排序可以获取每个节点的到达时间。因此,本发明可以利用受害线和攻击线的起点的到达时间来计算跳变时间差。对于负载,采用图4所示的负载计算模型计算。在FDP5芯片中,互连线后连接的都是MUX或BUFFER这种结构,而这些结构则存在输入负载和输出负载,其中输入负载又包括Cin、Coff,输出负载为Cout。其中Cin表示该路径为导通状态时的输入负载,而Coff则表示该路径为关闭状态时的输入负载。通过互连线后挂的负载遍历求和,再加上受害线本身负载Cgnd,即可获得所需要的受害线上的负载。对于基于串扰延时库的查表法,是基于前面构建的串扰延时库,采用图5所示的查表法进行串扰延时的计算,对于采样点直接获取串扰延时库中的延时值,对于非采样点则采用线性插值法进行实际延时值的计算。如图5所示,当前点落在采样点A、B和C、D间,通过线性插值法的方式计算出当前点的延时值。
技术效果
本发明较不考虑串扰效应的静态时序分析精度大大提高,能更精确的预估所测电路的最大工作频率。该方法较不考虑串扰效应的静态时序分析,具有建模准确、可移植性好、精确度高、通用性强等特点。其中串扰建模的软硬件误差最大值不超过3%,采用串扰延时分析算法的静态时序分析所留裕量在7.24%~37.70%之间,为业界可接受范围之内。
附图说明
图1为整体流程图。
图2为串扰效应建模流程图。
图3为串扰分析算法流程图。
图4为负载计算模型。
图5为基于线性插值法的查表法。
图6为串扰线仿真电路。
图7为批处理仿真流程图。
图8为批处理脚本处理流程图。
图9为串扰延时库。
具体实施方式
本发明的实现方案包括串扰效应建模的实现以及串扰分析算法的实现。
对于串扰效应建模的实现,具体包括构建串扰线电路、仿真串扰线延时以及生成串扰延时库三个步骤。
(1)构建串扰线电路
从版图中抽取耦合线对电路,构建形如图6的电路,其中Buffer为缓冲器,Aggressor为攻击线,Victim为受害线,Space为受害线与攻击线的间距,Couple_Length为受害线与攻击线的耦合长度。
(2)仿真串扰线延时
采用编写脚本,改变激励变量的方式进行批处理,进而得到串扰延时值,其流程图如图7所示,将激励变量和仿真网表通过批处理脚本进行批量仿真,进而得到串扰延时库。其中激励变量中Cload为受害线上的负载,Offset为受害线与攻击线的跳变时间差,Jump direction为受害线与攻击线的跳变方向。仿真网表为串扰线电路网表,包含逻辑电路网表以及对应的寄生参数文件。批处理脚本流程图如图8所示,首先通过遍历3种跳变方向、11种跳变时间差以及11个受害线上负载,然后根据这三个变量值修改激励文件,再调用Hspice引擎进行仿真,进而得到仿真报告。之后针对多个仿真报告,提取传输延时值,最终按照一定格式生成串扰延时库。
(3)生成串扰延时库
通过上一节中的批处理仿真方法,我们最终生成了串扰延时库。其中针对每一种串扰线电路,会生成形如图9的3张串扰延时二维查找表。其中,3张表中横坐标为Jump TimeDifference,即受害线与攻击线的跳变时间差,纵坐标为Victim Load,即受害线上负载,表中的值即为串扰延时值。表(a)为In_Phase情况下的串扰延时二维查找表,表(b)为Out_Phase情况下的串扰延时二维查找表,表(c)为Aggressor_Constant情况下的串扰延时二维查找表。
对于串扰处理算法的实现,具体包括多攻击线的串扰处理算法、跳变时间差及负载计算法以及基于串扰延时库的查表法三个部分。
(1)多攻击线的串扰处理算法
研究发现串扰延时值与耦合线对数目大致是呈线性关系的。针对这种线性关系,在多线耦合情况下,我们只需统计耦合线对数目,然后在原先的二线耦合的串扰延时上进行线性计算即可。
(2)跳变时间差及负载计算法
对于跳变时间差,我们采用关键路径搜索算法计算,关键路径搜索算法基于拓扑排序可以获取每个节点的到达时间,因此我们可以利用受害线和攻击线的起点的到达时间来计算跳变时间差。对于负载,我们采用图4的负载计算模型计算。在FDP5芯片中,互连线后连接的都是MUX或BUFFER这种结构,而这些结构则存在输入负载和输出负载,其中输入负载又包括Cin、Coff,输出负载为Cout。其中Cin表示该路径为导通状态时的输入负载,而Coff则表示该路径为关闭状态时的输入负载。通过互连线后挂的负载遍历求和,再加上受害线本身负载Cgnd,即可获得我们所需要的受害线上的负载。
(3)基于串扰延时库的查表法
对于基于串扰延时库的查表法,是基于前面构建的串扰延时库,采用图5的查表法进行串扰延时的计算,对于采样点直接获取串扰延时库中的延时值,对于非采样点则采用线性插值法进行实际延时值的计算。如图5所示,当前点落在采样点A、B和C、D间,通过线性插值法的方式计算出当前点的延时值。

Claims (5)

1.一种考虑串扰效应的静态时序分析方法,包括提出一种基于HSPICE模型的串扰延时库的建模方式,并提出相对应的串扰处理算法;其特征在于具体步骤如下:
(1)首先,提出一种基于HSPICE模型的串扰延时库的建模方式,即首先由芯片版图提取出串扰线电路,然后提取出寄生参数,再以批处理方式进行仿真,最终得到每种串扰线电路的串扰延时库,由此保证串扰效应建模的精确性;
(2)然后,采用串扰处理算法计算跳变时间差及负载,并进行多攻击线的串扰处理;
(3)最终,基于串扰延时库的查找表法进行分析计算,得到精确的串扰延时值。
2.根据权利要求1所述的考虑串扰效应的静态时序分析方法,其特征在于,步骤(2)中,所述串扰处理算法,其流程为:
首先通过分析电路网表生成耦合线对容器,然后遍历该容器进行处理;对于耦合线对,先判断其是否为多攻击线情况,若是,则采用多攻击线的串扰处理算法处理,否则直接进行跳变时间差及负载计算;
在获取到跳变时间差和负载的具体值后,基于前面构建的串扰延时库采用查表法获取精确的串扰延时值,最终更新至路径延时值上。
3.根据权利要求2所述的考虑串扰效应的静态时序分析方法,其特征在于,所述多攻击线的串扰处理算法,由于发现串扰延时值与耦合线对数目大致是呈线性关系,针对这种线性关系,在多线耦合情况下,只统计耦合线对数目,然后在原先的二线耦合的串扰延时上进行线性计算。
4. 根据权利要求3所述的考虑串扰效应的静态时序分析方法,其特征在于,所述跳变时间差及负载计算中,对于跳变时间差计算,采用关键路径搜索算法计算,关键路径搜索算法基于拓扑排序可以获取每个节点的到达时间;因此,利用受害线和攻击线的起点的到达时间来计算跳变时间差;对于负载计算,采用负载计算模型计算,在FDP5芯片中,互连线后连接的都是MUX或BUFFER这种结构,而这些结构则存在输入负载和输出负载,其中,输入负载又包括,输出负载为,其中表示该路径为导通状态时的输入负载,而则表示该路径为关闭状态时的输入负载;通过互连线后挂的负载遍历求和,再加上受害线本身负载,即获得所需要的受害线上的负载。
5.根据权利要求3所述的考虑串扰效应的静态时序分析方法,其特征在于,所述基于串扰延时库的查找表法,是基于构建的串扰延时库,对于采样点直接获取串扰延时库中的延时值,对于非采样点则采用线性插值法进行实际延时值的计算。
CN201610382152.1A 2016-06-02 2016-06-02 考虑串扰效应的静态时序分析方法 Active CN106066914B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610382152.1A CN106066914B (zh) 2016-06-02 2016-06-02 考虑串扰效应的静态时序分析方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610382152.1A CN106066914B (zh) 2016-06-02 2016-06-02 考虑串扰效应的静态时序分析方法

Publications (2)

Publication Number Publication Date
CN106066914A true CN106066914A (zh) 2016-11-02
CN106066914B CN106066914B (zh) 2019-05-31

Family

ID=57420530

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610382152.1A Active CN106066914B (zh) 2016-06-02 2016-06-02 考虑串扰效应的静态时序分析方法

Country Status (1)

Country Link
CN (1) CN106066914B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108073771A (zh) * 2017-12-25 2018-05-25 中国电子科技集团公司第四十七研究所 Fpga静态时序分析算法
CN112183006A (zh) * 2019-07-02 2021-01-05 上海复旦微电子集团股份有限公司 时延评估方法及装置、可读存储介质
CN112329372A (zh) * 2020-11-17 2021-02-05 南京蓝洋智能科技有限公司 一种用于信号完整性分析的码型的产生方法
CN114117985A (zh) * 2021-12-03 2022-03-01 芯格(上海)微电子有限公司 集成运放的智能验证方法、系统、介质及终端设备
CN115270674A (zh) * 2022-06-21 2022-11-01 上海为旌科技有限公司 一种基于自动化的带时序裕量的lib提取方法和装置
WO2023155314A1 (zh) * 2022-02-17 2023-08-24 长鑫存储技术有限公司 串扰分析方法和装置

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11860222B2 (en) 2021-02-25 2024-01-02 Changxin Memory Technologies, Inc. Method, circuit and apparatus for testing crosstalk effect

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020166101A1 (en) * 2001-03-06 2002-11-07 Nec Usa, Inc. Crosstalk mitigation method and system
CN1783096A (zh) * 2004-10-08 2006-06-07 富士通株式会社 考虑串扰的定时分析
US7073140B1 (en) * 2002-08-30 2006-07-04 Cadence Design Systems, Inc. Method and system for performing crosstalk analysis
US20080034338A1 (en) * 2005-12-09 2008-02-07 Fujitsu Limited Timing analysis method and device
CN101802783A (zh) * 2007-09-14 2010-08-11 国际商业机器公司 对于串扰引起的噪声的受约束的攻击者集合选择方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020166101A1 (en) * 2001-03-06 2002-11-07 Nec Usa, Inc. Crosstalk mitigation method and system
US7073140B1 (en) * 2002-08-30 2006-07-04 Cadence Design Systems, Inc. Method and system for performing crosstalk analysis
CN1783096A (zh) * 2004-10-08 2006-06-07 富士通株式会社 考虑串扰的定时分析
US20080034338A1 (en) * 2005-12-09 2008-02-07 Fujitsu Limited Timing analysis method and device
CN101802783A (zh) * 2007-09-14 2010-08-11 国际商业机器公司 对于串扰引起的噪声的受约束的攻击者集合选择方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
MATTHIAS RINGE 等: "Static Timing Analysis Taking Crosstalk into Account", 《PROCEEDINGS DESIGN, AUTOMATION AND TEST IN EUROPE CONFERENCE AND EXHIBITION 2000》 *
王伟芳 等: "考虑串扰的集成电路静态定时分析方法", 《计算机工程与设计》 *
王洪辉 等: "基于QFP64封装的串扰分析", 《中国集成电路》 *

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108073771A (zh) * 2017-12-25 2018-05-25 中国电子科技集团公司第四十七研究所 Fpga静态时序分析算法
CN108073771B (zh) * 2017-12-25 2022-01-04 中国电子科技集团公司第四十七研究所 Fpga静态时序分析方法
CN112183006A (zh) * 2019-07-02 2021-01-05 上海复旦微电子集团股份有限公司 时延评估方法及装置、可读存储介质
CN112329372A (zh) * 2020-11-17 2021-02-05 南京蓝洋智能科技有限公司 一种用于信号完整性分析的码型的产生方法
CN114117985A (zh) * 2021-12-03 2022-03-01 芯格(上海)微电子有限公司 集成运放的智能验证方法、系统、介质及终端设备
CN114117985B (zh) * 2021-12-03 2024-04-05 芯格(上海)微电子有限公司 集成运放的智能验证方法、系统、介质及终端设备
WO2023155314A1 (zh) * 2022-02-17 2023-08-24 长鑫存储技术有限公司 串扰分析方法和装置
CN115270674A (zh) * 2022-06-21 2022-11-01 上海为旌科技有限公司 一种基于自动化的带时序裕量的lib提取方法和装置
CN115270674B (zh) * 2022-06-21 2023-10-20 上海为旌科技有限公司 一种基于自动化的带时序裕量的lib提取方法和装置

Also Published As

Publication number Publication date
CN106066914B (zh) 2019-05-31

Similar Documents

Publication Publication Date Title
CN106066914A (zh) 考虑串扰效应的静态时序分析方法
US9171124B2 (en) Parasitic extraction in an integrated circuit with multi-patterning requirements
CN102866349B (zh) 集成电路测试方法
EP1923804B1 (en) Incremental solver for modeling an integrated circuit
JP2001256271A (ja) 不要輻射解析方法および装置
US8103997B2 (en) Method of employing slew dependent pin capacitances to capture interconnect parasitics during timing abstraction of VLSI circuits
JP3821612B2 (ja) 不要輻射解析方法
CN114117943B (zh) 物理设计布局阶段的时序预测方法
CN115315702A (zh) 早期阶段电路设计中基于机器学习的度量的预测
JPWO2001082145A1 (ja) クロストーク解析方法、それを用いた電子回路装置の設計乃至製造方法、及びそのための電子回路ライブラリの記録媒体
TW202018542A (zh) 模擬系統與方法
KR20220143809A (ko) 레지스터 전송 레벨 벡터로의 글리치 전력 분석
US8250510B2 (en) Jitter amount estimating method, method for calculating correlation between amount of simultaneously operating signal noise and jitter amount, and recording medium
US10896283B1 (en) Noise-based optimization for integrated circuit design
US8145442B2 (en) Fast and accurate estimation of gate output loading
Dutt et al. Accuracy enhancement of equal segment based approximate adders
Parvathi Machine learning based interconnect parasitic R, C, and power estimation analysis for adder family circuits
Abgaryan Crosstalk Prediction In Integrated Circuits Based on Machine Learning Techniques
Zhong et al. A study of a hybrid phase-pole macromodel for transient simulation of complex interconnects structures
CN112214955B (zh) 一种提取超大规模集成电路芯片电源模型参数的方法
Morgado et al. Generating worst-case stimuli for accurate power grid analysis
Yu et al. A provably passive and cost-efficient model for inductive interconnects
Papadopoulos et al. Challenges and trends in SOC Electromagnetic (EM) Crosstalk
Xu et al. Fast waveform estimation (FWE) for timing analysis
Singh et al. RLC modeled interconnect delay analysis for high-speed on-chip VLSI interconnects

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant