CN106019849B - 具有可湿剥离的中间层的半导体结构的图案化工艺 - Google Patents

具有可湿剥离的中间层的半导体结构的图案化工艺 Download PDF

Info

Publication number
CN106019849B
CN106019849B CN201510755863.4A CN201510755863A CN106019849B CN 106019849 B CN106019849 B CN 106019849B CN 201510755863 A CN201510755863 A CN 201510755863A CN 106019849 B CN106019849 B CN 106019849B
Authority
CN
China
Prior art keywords
intermediate layer
group
siliceous
photolithography method
lower floor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510755863.4A
Other languages
English (en)
Other versions
CN106019849A (zh
Inventor
陈建志
陈佳伟
张庆裕
吴少均
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106019849A publication Critical patent/CN106019849A/zh
Application granted granted Critical
Publication of CN106019849B publication Critical patent/CN106019849B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0331Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0751Silicon-containing compounds used as adhesion-promoting additives or as means to improve adhesion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Plasma & Fusion (AREA)

Abstract

根据一些实施例提供了一种光刻方法。光刻方法包括在衬底上形成聚合材料的下层;在下层上形成含硅中间层,其中含硅中间层具有重量百分比小于20%的硅浓度并且是可湿剥离的;在含硅中间层上形成图案化的光敏层;实施第一蚀刻工艺以将图案化的光敏层的图案转印至含硅中间层;实施第二蚀刻工艺以将图案转印至下层;以及对含硅中间层和下层实施湿剥离工艺。

Description

具有可湿剥离的中间层的半导体结构的图案化工艺
技术领域
本发明涉及半导体领域,更具体地涉及具有可湿剥离的中间层的半导体结构的图案化工艺。
背景技术
在集成电路(IC)的制造中,图案化的光刻胶层用于将具有小部件尺寸的设计图案从光掩模转印至晶圆。光刻胶是光敏感的并且可以通过光刻工艺图案化光刻胶。此外,光刻胶层提供对蚀刻或离子注入的抵抗,其进一步需要足够的厚度。当IC技术持续发展为更小的部件尺寸(例如,降低至32nm、28nm、20nm以及更低)时,由于抵抗需要,因此厚度并没有相应地按比例缩小。足以能够覆盖更厚的光刻胶的焦深将使成像分辨率降低。引入多重膜光刻胶以克服上述挑战。然而,多重膜光刻胶中的附加的材料是难以去除的,要么损坏衬底,要么留下残余物。
因此,需要光刻胶材料和光刻方法来解决上述问题。
发明内容
本发明提供一种光刻方法,包括:在衬底上形成聚合材料的下层;在所述下层上形成含硅中间层,其中,所述含硅中间层具有重量百分比小于20%的硅浓度,并且所述含硅中间层是可湿剥离的;在所述含硅中间层上形成图案化的光敏层;实施第一蚀刻工艺以将所述图案化的光敏层的图案转印至所述含硅中间层;实施第二蚀刻工艺以将所述图案转印至所述下层;以及对所述含硅中间层和所述下层实施湿剥离工艺。
优选地,光刻方法还包括:在实施所述第二蚀刻工艺之后以及在实施所述湿剥离工艺之前,对所述衬底实施蚀刻和离子注入中的一种。
优选地,实施所述湿剥离工艺包括:应用硫酸和过氧化氢的第一混合物;应用氨酸、过氧化氢和水的第二混合物;以及应用稀释的氢氟酸。
优选地,形成所述含硅中间层包括:利用具有含硅聚合材料的溶液涂覆所述衬底;以及对所述溶液实施固化工艺,由此交联所述含硅聚合材料以形成所述含硅中间层。
优选地,形成所述含硅中间层包括形成具有如下化学结构的含硅中间层:
其中,O和Si分别表示氧和硅;a、b和c分别表示X、D和R基团的重量百分比;X表示提供交联位点的第一有机基团;D表示设计为调节消光系数和折射率的芳香族基团;以及R表示增强所述光敏层的抗蚀刻性、粘附性的第二有机基团。
优选地,所述第一有机基团X具有包含2至20个碳的烷基基团并且具有至少一个可交联的官能团,所述可交联的官能团选自由-I、-Br、-Cl、-NH2、-COOH、-OH、-SH、-N3、环氧基、炔烃、烯烃、酮、醛、酯、酰基卤、NHS酯、亚氨酸酯、五氟苯酯、羟甲基膦、碳二亚胺、马来酰亚胺、卤代乙酰、二硫代吡啶、硫代亚磺酸酯、乙烯基砜、酰肼、烷氧基胺、双吖丙啶、芳基叠氮化物、异氰酸酯、膦、酰胺、醚和它们的组合组成的组。
优选地,所述芳香族基团D是发色团并且具有含有3至20个碳的烷基基团,其中,所述芳香族基团D具有至少一个光敏官能团,所述光敏官能团选自由苯基、萘基、菲酚基、蒽基、菲基和含有一至五元环的其他芳香族衍生物组成的组。
优选地,R是含有1至20个碳的烷基基团,其中,所述烷基基团包括化学物,所述化学物选自由-I、-Br、-Cl、-NH2、-COOH、-OH、-SH、-N3、-S(=O)-、烯烃、炔烃、亚胺、醚、酯、醛、酮、酰胺、砜、乙酸、氰或它们的组合组成的组。
优选地,所述含硅中间层包括如下的化学结构:
其中,Rab、Rbc、Rac、Ra、Rb和Rc是可酸裂解的化学间隔,其中,Rab、Rbc和Rac中的每个都包括化学结构,所述化学结构选自由芳香环、脂族基团、杂环、-C(=O)-、-C(=NR)-、-SO2、-S(=O)-、-COOR、-CONHR、-CONR2、醚、烯烃、炔烃和它们的组合组成的组。
优选地,所述含硅中间层包括给电子基团,所述给电子基团选自由伯碳基团、仲碳基团、叔碳基团、芳香环、脂族基团、杂环、-OR、-OH、NR2、-NHR、-NH2、-SR、-SH、-PR3、-PHR2、-PH2R、-PH3、烯烃、炔烃和它们的组合组成的组。
优选地,所述含硅中间层包括吸电子基团,所述吸电子基团选自由芳香环、脂族基团、杂环、-CN、-NO2、-C(=O)-、-COOH、-C(=NR)-、-SO2、-S(=O)-、-COOR、-OH、-CONHR、-CONR2、烯烃、炔烃、卤化物和它们的组合组成的组。
优选地,光刻方法还包括:对所述含硅中间层实施等离子体处理。
优选地,所述第二蚀刻工艺是使用含硫气体和氧气(O2)的混合物的等离子体蚀刻工艺;以及所述等离子体处理使用包含氮气(N2)和氢气(H2)的气体。
优选地,在使用包含所述含硫气体、O2、N2和H2的气体的等离子体工艺中同时执行所述等离子体处理和所述第二蚀刻工艺。
优选地,所述第二蚀刻工艺是阶段化的以在第一时间窗口中执行;所述等离子体处理是阶段化的以在第二时间窗口中执行;以及所述第二时间窗口与所述第一时间窗口是成对的,并且所述第二时间窗口中的每个都在所述第二时间窗口的成对的一个之后。
本发明还提供一种光刻方法,包括:在衬底上形成聚合材料的下层;在所述下层上形成含硅中间层;在所述含硅中间层上形成图案化的光敏层;实施第一蚀刻工艺以将所述图案化的光敏层的图案转印至所述含硅中间层;实施第二蚀刻工艺以将所述图案转印至所述下层;对所述含硅中间层实施等离子体处理;以及实施湿剥离工艺以去除所述含硅中间层和所述下层。
优选地,所述含硅中间层具有重量百分比小于20%的硅浓度,并且所述含硅中间层是可湿剥离的;所述第二蚀刻工艺是使用含硫气体和氧气(O2)的混合物的等离子体蚀刻工艺;以及所述等离子体处理使用包含氮气(N2)和氢气(H2)的气体。
优选地,在使用含硫气体、O2、N2和H2的混合物的共同的等离子体工艺中,同时执行所述等离子体处理和所述第二蚀刻工艺。
优选地,所述第二蚀刻工艺是阶段化的以在第一时间窗口中执行;所述等离子体处理是阶段化的以在第二时间窗口中执行;以及所述第二时间窗口与所述第一时间窗口是成对的,并且所述第二时间窗口中的每个都在所述第二时间窗口的成对的一个之后。
本发明还提供一种光刻方法,包括:在半导体衬底上形成含硅中间层;以及对所述含硅中间层实施烘焙工艺,其中,所述含硅中间层具有重量百分比小于20%的硅浓度,并且所述含硅中间层是可湿剥离的,其中,所述含硅中间层包括如下的化学结构:
其中,O和Si分别表示氧和硅;a、b和c分别表示X、D和R基团的重量百分比;X表示提供交联位点的第一有机基团;D表示设计为调节消光系数和折射率的芳香族基团;以及R表示增强光敏层的抗蚀刻性、粘附性的第二有机基团。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1至图8示出了根据一些实施例构建的在各个制造阶段中的一个示例性半导体结构的截面图。
图9是在一些实施例中根据本发明的各个方面构建的用于光刻工艺的中间层中的化学结构的示意图。
图10是在一些实施例中根据本发明的各个方面构建的用于光刻工艺的中间层中的化学结构的示意图。
图11是在一些实施例中根据本发明的各个方面构建的用于光刻工艺的中间层中的化学结构的示意图。
图12是在一些实施例中根据本发明的各个方面构建的中间层中的第一有机基团的化学结构的示意图。
图13是在一些实施例中根据本发明的各个方面构建的中间层中的芳香族有机基团的化学结构的示意图。
图14是在一些实施例中根据本发明的各个方面构建的中间层中的第二有机基团的化学结构的示意图。
图15是在一些实施例中根据本发明的各个方面构建的中间层中的长链化学结构的示意图。
图16是在一些实施例中根据本发明的各个方面构建的中间层中的给电子基团的化学结构的示意图。
图17是在一些实施例中根据本发明的各个方面构建的中间层中的吸电子基团的化学结构的示意图。
图18是在一些实施例中根据本发明的各个方面构建的中间层中的可酸裂解基团的化学结构的示意图。
图19是在一个实施例中根据本发明的各个方面构建的用于光刻工艺的方法的流程图。
图20是在一个实施例中根据本发明的各个方面构建的用于光刻工艺的方法的流程图。
图21是在一个实施例中根据本发明的各个方面构建的用于光刻工艺的方法的流程图。
具体实施方式
应当理解,以下公开内容提供了许多用于实现各个实施例的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成附加的部件,从而使得第一部件和第二部件可以不直接接触的实施例。另外,本发明可以在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
根据一些实施例,图1至图8提供了在各个制造阶段中的半导体结构100的截面图。参考图1至图18共同地描述半导体结构100及其制造方法。
参考图1,在本实施例中半导体结构100是半导体晶圆。在一些实施例中,半导体结构100包括半导体衬底110,诸如硅衬底。在一些实施例中,衬底110可以包括另一元素半导体,诸如锗或金刚石。衬底110可以包括化合物半导体,诸如碳化硅、砷化镓、砷化铟和磷化铟。衬底110可以包括合金半导体,诸如硅锗、碳化硅锗、磷砷化镓和磷铟化镓。衬底110可以包括一个或多个外延半导体层,诸如外延生长在硅衬底上的半导体层。例如,衬底可以具有位于块状半导体上面的外延层。此外,衬底可以是应变的以用于提高性能。例如,外延层可以包括与块状半导体的半导体材料不同的半导体材料,块状半导体诸如通过包括选择选择性外延生长(SEG)的工艺形成的位于块状硅上面的硅锗层或位于块状硅锗上面的硅层。此外,衬底110可以包括绝缘体上半导体(SOI)结构。例如,衬底可以包括通过诸如注氧隔离(SIMOX)的工艺形成的掩埋氧化物(BOX)层。在其他的实施例中,衬底110可以包括诸如薄膜晶体管(TFT)技术中的玻璃。
半导体结构100也可以包括其他材料层和其他电路图案。例如,半导体结构100包括各个掺杂部件,诸如形成在半导体衬底110中的掺杂阱结构(例如,P型掺杂阱和N型掺杂阱)。在其他的实施例中,半导体结构100还可以包括:将被图案化(通过蚀刻以去除或离子注入以引入掺杂剂)的一个或多个材料层,诸如将被图案化以形成用于导电线的沟槽或用于接触件或通孔的孔的介电层;将被图案化以形成栅极的栅极材料堆叠件;或将被图案化以形成隔离沟槽的半导体材料。例如,将被图案化的材料层是半导体层,该半导体层作为半导体衬底110的一部分。在其他的实施例中,诸如砷化镓(GaAs)和砷化铝镓(AlGaAs)的多个半导体材料层在半导体衬底上外延生长并且被图案化以形成诸如发光二极管(LED)的各个器件。在一些其他的实施例中,半导体结构100包括形成在该半导体结构上或将要形成在该半导体结构上的鳍式有源区和三维鳍式场效应晶体管(FinFET)。
仍然参考图1,在半导体衬底110上形成三层光刻胶。在半导体衬底110上形成下层112。将下层112设计为提供对于蚀刻或离子注入的抵抗。下层112用作掩模以保护衬底110免受蚀刻或离子注入。因此,下层112具有足够的厚度以实现该目的。在一些实施例中,下层112包括不含硅的有机聚合物。在一些实施例中,下层112的形成包括旋涂和固化(诸如具有适当的烘焙温度的热烘焙工艺)。
仍然参考图1,在下层112上形成中间层114。中间层114是含硅层,含硅层设计为提供与下层112的蚀刻选择性。在本实施例中,中间层114用作蚀刻掩模以将图案转印至下层112。在一些实施例中,也将中间层114设计为用作底部抗反射涂层,该底部抗反射涂层在光刻曝光工艺期间减小反射,因此提高成像对比度并且增强成像分辨率。含硅中间层114和不含硅下层112设计为具有蚀刻选择性。在一些实施例中,中间层114的形成包括旋涂和固化(诸如具有适合的烘焙温度的热烘焙工艺)。
存在的中间层难以去除。当应用等离子体蚀刻工艺以去除中间层时,等离子体蚀刻工艺可能损坏半导体衬底110。现有的湿蚀刻工艺对去除中间层是无效的。设计公开的方法和中间层114,使得能够通过湿剥离有效地去除中间层114,而不损坏半导体结构100。因此,中间层114称为可湿剥离的中间层。
根据一些实施例,可湿剥离的中间层114包括具有图9中示意性示出的化学结构的含硅聚合物(或简单地硅聚合物)130。具体地,可湿剥离的中间层114设计为具有长侧链并且具有重量百分比小于20%的硅含量。通过具有长侧链,降低了中间层114中的硅的重量百分比。因此,相对于含硅基团,长侧链易于去除。此外,在图案化下层112的干蚀刻工艺期间,长侧链减少了O-Si-O的形成。应用至下层112的干蚀刻工艺在图案化的中间层上形成外壳,并且由于高硅含量,所以外壳难以被去除。因此,在干蚀刻工艺期间降低O-Si-O的形成降低了外壳的硅含量并且使外壳容易被去除。可选地,中间层114中的含硅聚合物130设计为具有更多和/或更长的有机链。由于类似的理由,更多和/或更长的有机链将降低中间层114的硅含量,这使得在不损坏半导体结构100的情况下更容易去除中间层和外壳。
根据各个实施例还描述了可湿剥离的中间层114的组成。硅聚合物130的化学结构包括具有化学键合在一起的多个O-Si-O基团的主链132。在一些实施例中,O-Si-O基团可以不直接键合在一起。例如,一些其他的化学基团可以桥接两个邻近的O-Si-O基团并且将两个邻近的O-Si-O基团键合在一起。硅聚合物130还包括:提供交联位点的第一有机基团134(标记为“X”);改进中间层114的特性(诸如折射率n、消光系数κ和/或抗蚀刻性)的芳香族基团136(标记为“D”);以及增强光刻胶层的粘附性并且调节其他影响(诸如蚀刻性能和湿剥离性)的第二有机基团138(标记为“R”)。
如图9所示,硅聚合物130的主链132包括键合至第一有机基团134的第一子集、键合至芳香族基团136的第二子集以及键合至第二有机基团138的第三子集。O-Si-O基团的第一、第二和第三子集的相对重量百分比分别为“a”、“b”和“c”(如图9中标记的)。a、b和c的和是1或100%。调整相对重量百分比a、b和c,从而使得适当地调节各个参数。例如,当期望较少的交联位点时,降低O-Si-O基团的第一子集的重量百分比“a”。如上所述,除了各自的功能外,化学基团“X”、“D”和“R”设计为具有长链以进一步减小中间层114的硅含量以及外壳的硅含量,因此,使该中间层和外壳的去除更加容易。在一些实施例中,中间层114包括通过脂族基团或芳香族基团键合至主链132中的硅原子的1-4烷基基团。
在一些实施例中,第一有机基团(X)134是交联剂,其是具有2-20个碳(C2-C20)的烷基基团并且具有至少一个可交联的官能团,可交联的官能团诸如-I、-Br、-Cl、-NH2、-COOH、-OH、-SH、-N3、环氧基、炔烃、烯烃、酮、醛、酯、酰基卤、NHS酯、亚氨酸酯、五氟苯酯、羟甲基膦、碳二亚胺、马来酰亚胺、卤代乙酰、二硫代吡啶、硫代亚磺酸酯、乙烯基砜、酰肼、烷氧基胺、双吖丙啶、芳基叠氮化物、异氰酸酯、膦、酰胺、醚或它们的组合。在一些实例中,第一有机基团(X)134包括化学结构,诸如图12中示出的150、152、154或156。根据一些实施例,第一有机基团(X)134的重量百分比“a”在从0至1的范围内。
在一些实施例中,芳香族基团(D)136包括发色团并且包括带有至少一个光敏官能团的具有3-20个碳(C3-C20)的烷基基团,诸如芳香族基团或杂环基团。芳香结构可以是苯基、萘基、菲酚基、蒽基、菲基或含有一至五元环的其他芳香族衍生物。在一些实例中,芳香族基团(D)136包括诸如图13中示出的160、162或164的化学结构。根据一些实施例,芳香族基团(D)136的重量百分比“b”在从0至0.5的范围内。
在一些实施例中,第二有机基团(R)138包括带有非环状结构或环状结构的具有1-20个碳(C1-C20)的烷基基团。例如,环状结构是芳香环。第二有机基团138设计为提高光刻胶粘附性、抗蚀刻性和湿剥离性。在其他的实例中,烷基基团还包括官能团,诸如-I、-Br、-Cl、-NH2、-COOH、-OH、-SH、-N3、-S(=O)-、烯烃、炔烃、亚胺、醚、酯、醛、酮、酰胺、砜、乙酸、氰或它们的组合。在一些实例中,第二有机基团(R)138包括诸如图14示出的170、172、174或176的化学结构。根据一些实施例,第二有机基团(R)138的重量百分比“c”在从0至0.5的范围内。
如上所述,根据一些实施例,可湿剥离的中间层114设计为具有长侧链。例如,中间层114中的第一有机基团134、芳香族基团136和第二有机基团138三种中的全部或至少一种具有含有5至20个碳的长链。可选地,中间层114中的含硅聚合物130设计为具有更多和/或更长的碳链,由此在清洗工艺期间形成具有低分子量的多种聚合物。根据一些实施例,例如,硅聚合物130的主链132包括诸如图15中示出的180、182或它们的组合的化学结构。
可湿剥离的中间层114还包括如图9所示的交联剂(“CL”)142、染料144和其他添加剂146。交联剂142用于将中间层中的各个化学物交联为聚合网络。由于含硅聚合物130具有较小的硅含量,所以现有的交联剂是无效的。以不同方式设计交联剂142,从而使得能够被化学键合至第一有机基团134的交联位点。在本实施例中,交联剂142是有机交联剂。在各个实施例中,交联剂142包括芳香结构、脂族结构或它们的组合。在一些实施例中,在交联反应之前,交联剂142化学键合至含硅聚合物130。例如,交联剂142中的每一个都在交联反应之前最初键合至第一有机基团134,或者是第一有机基团134。
染料144对光是敏感的,并且能够改进中间层114的特性(诸如折射率n和消光系数κ)。在各个实施例中,染料144是芳香族基团136的一部分,或者化学键合至该芳香族基团,或化学键合至第二有机基团138。
添加剂146可以包括被设计为改进中间层114的特性和提高该中间层的性能(诸如可润湿性和清洗工艺期间的清洗机制的相应的提高)的各种化学物。在一些实施例中,添加剂146包括表面活性剂、含氟基团或表面活性剂和含氟基团二者。
在本实施例中,当中间层114设置在下层112上时,该中间层也包括溶剂。在各个实例中,溶剂包括异丙醇(IPA)、丙二醇甲醚(PGEE)、丙二醇单甲醚乙酸酯(PGMEA)、H2O、醚、醇、酮或酯。
在一些实施例中,如图10所示,硅聚合物130使用含硅单体,含硅单体具有给电子基团(EDG,标记为“A”)148、吸电子基团(EWG,标记为“B”)150或二者。EDG 148稳定硅阳离子并且弱化Si-O键。EWG 150能够降低氧的亲核性并且也弱化具有EDG 148的含硅单体中的Si-O键。由此,硅聚合物中的一些Si-O键容易裂解。在一些实施例中,EDG、EWG或二者合并到第一有机基团(X)134、芳香族基团(D)136和第二有机基团(R)138中的至少一些中。在又一实施例中,化学基团X、D、R的至少一个子集设计为包括EDG、EWG或二者。
在一些实施例中,EDG 148包括以下中的一种:伯碳基团、仲碳基团、叔碳基团、芳香环、脂族基团、杂环、-OR、-OH、NR2、-NHR、-NH2、-SR、-SH、-PR3、-PHR2、-PH2R、-PH3、烯烃、炔烃和它们的组合。在一些实施例中,根据一些实施例,EDG 148包括诸如图16所示的190或192的化学基团。
在一些实施例中,EWG 150包括以下中的一种:芳香环、脂族基团、杂环、-CN、-NO2、-C(=O)-、-COOH、-OR、-C(=NR)-、-SO2、-S(=O)-、-COOR、-OH、-CONHR、-CONR2、烯烃、炔烃、卤化物和它们的组合。在一些实施例中,根据一些实施例,EWG 150包括诸如图17所示的200或202的化学基团。
在一些实施例中,如图11所示,硅聚合物130的化学结构包括主链132,该主链具有多个O-Si-O基团和将临近的O-Si-O基团键合在一起的化学间隔。在本实施例中,化学间隔包括碳但不含硅,因此也称为碳间隔。碳间隔将两个邻近的O-Si-O基团键合在一起,形成Si-O-R-O-Si,其中R是碳间隔。通过酸处理容易裂解这样的结构。换句换说,化学间隔是可酸裂解的。在图11中,诸如Ra、Rb、Rc、Rab、Rbc和Rac的各个碳间隔合并到硅聚合物130的主链132中。具体地,碳间隔Rab插入并且键合在第一有机基团X和芳香族基团D之间;碳间隔Rbc插入并且键合在芳香族基团D和第二有机基团R之间;碳间隔Rac插入并且键合在第一有机基团X和第二有机基团R之间;碳间隔Ra插入并且键合在两个第一有机基团X之间;碳间隔Rb插入并且键合在两个芳香族基团D之间;以及碳间隔Rc插入并且键合在两个第二有机基团R之间。在又一实施例中,由于间隔合并到主链132中,所以硅聚合物130不含Si-O-Si键。最后,中间层114是易于剥离的。此外,这减少了在图案化下层112的干蚀刻工艺期间的Si-O-Si的形成。因此,由干蚀刻工艺产生的相应的外壳具有较少的Si-O-Si基团,由此提高了外壳在湿化学清洗中的溶解性,湿化学清洗诸如通过硫酸-过氧化氢混合物(SPM)和氨水-过氧化氢混合物(SC-1或APM)。
在一些实施例中,可酸裂解的基团Rab、Rbc和Rac中的至少一个或全部包括简单的烷基基团或可酸裂解基团,诸如芳香环、脂族基团、杂环、-C(=O)-、-C(=NR)-、-SO2、-S(=O)-、-COOR、-CONHR、-CONR2、醚、烯烃或炔烃。在一些实例中,可酸裂解的基团(Rab、Rbc、Rac或全部)包括诸如图18中示出的210或212的化学基团。
再次参考图1,光刻胶层(光敏层或抗蚀剂层)116形成在中间层114上。光刻胶层116的形成可以包括旋涂和烘焙工艺。光刻胶层116可以包括光敏化学物、聚合材料和溶剂。在一些实施例中,光敏层116利用化学增幅(CA)抗蚀剂材料。例如,正CA抗蚀剂材料包括聚合材料,在聚合材料与酸反应之后,该聚合材料变得可溶于诸如碱溶液的显影剂。可选地,CA抗蚀材料可以是负性的并且包括聚合材料,在聚合物与酸反应之后,该聚合材料变得不溶于诸如碱溶液的显影剂。光刻胶层116还包括溶剂。可以通过软烘工艺部分地蒸发溶剂。在又一实施例中,当使用CA抗蚀剂材料时,光敏化学物包括分布在光刻胶层中的光酸产生剂(PAG)。当吸收光能时,PAG分解并且形成少量的酸。以重量百分比计,PAG的浓度可以在光刻胶层116的约1%和30%之间的范围内。
光刻胶层116可以附加地包括其他组成,诸如分布在溶剂和聚合材料中的猝灭剂。在本实例中,猝灭剂是碱性的并且具有中和酸的能力。共同地或附加地,猝灭剂可以抑制光刻胶层116的其他活性组分,诸如抑制光酸的反应。
参考图2,然后将半导体结构100转移至用于曝光工艺的光刻装置。在一个实施例中,曝光工艺利用光刻技术,光刻技术利用适当的辐射源和相应的辐射能量。在曝光工艺中,通过具有预定图案的光掩模(掩模或标线)将光刻胶层116暴露于辐射能量,由此产生包括诸如曝光的部件116a的多个曝光的区域和多个未曝光的区域116b的潜在的光刻胶图案。在各个实例中,辐射能量可以包括利用氟化氪(KrF)受激准分子激光器的248nm束、利用氟化氩(ArF)受激准分子激光器的193nm束、利用氟化物(F2)受激准分子激光器的157nm束或诸如具有约13.5nm波长的EUV光的远紫外(EUV)光。在曝光工艺之后,接下来是诸如曝光后烘焙(PEB)工艺的其他处理步骤。
参考图3,通过显影剂显影光刻胶层116以形成图案化的光刻胶层。在本实例中,光刻胶层116是正性的,通过诸如四甲基氢氧化铵(TMAH)的显影剂来去除光刻胶层的曝光的部分116a。在一个实例中,显影剂包括具有诸如约2.38%的适当的浓度的TMAH溶液。然而,可以可选地使用负性的光刻胶层和/或负性的显影剂。在显影之后,光刻胶116可以进行至其他处理步骤,诸如硬烘工艺。可以通过诸如无掩模光刻、电子束写入、离子束写入和分子印迹的其他适当的技术来执行或替换使用光掩模和光刻装置的曝光工艺。
参考图4,使用图案化的光刻胶层116作为蚀刻掩模,将第一蚀刻工艺应用于中间层114,由此将图案从图案化的光刻胶层116转印至中间层114。在各个实施例中,第一蚀刻工艺可以包括干蚀刻、湿蚀刻或它们的组合。在本实例中,蚀刻工艺包括使用蚀刻剂的等离子体蚀刻工艺,蚀刻剂具有氟,诸如CF2、CF3、CF4、C2F2、C2F3、C3F4、C4F4、C4F6、C5F6、C6F6、C6F8或它们的组合。
参考图5,在通过第一蚀刻工艺图案化中间层114之后,可以通过诸如湿剥离或等离子体灰化的适当的技术来去除光刻胶层116。
参考图6,使用图案化的中间层114作为蚀刻掩模,将第二蚀刻工艺应用于下层112,由此将图案从图案化的中间层114转印至下层112。在各个实施例中,第二蚀刻工艺可以包括干蚀刻、湿蚀刻或它们的组合,第二蚀刻工艺利用选择性地蚀刻下层112同时基本上保留中间层114的蚀刻剂。由于在本实施例中,中间层114是含硅材料而下层112是不含硅材料,所以可以通过蚀刻剂的适当的选择实现蚀刻选择性。在本实施例中,第二蚀刻工艺包括使用具有含硫气体和含氧气体的蚀刻剂的等离子体蚀刻工艺。在一个实例中,含氧气体包括氧气(O2)。在一个实例中,含硫气体包括羰基硫化物(COS)。在另一实例中,含硫气体包括二氧化硫(SO2)。第二蚀刻工艺可以产生形成在图案化的中间层114上的外壳并且该外壳难以被去除。
仍参考图6,根据一些实施例,可以应用等离子体处理以去除外壳。通过使用可剥离的中间层114,通过随后的工艺有效地去除中间层和外壳。可选地或附加地,应用等离子体处理以具有共同去除效果地去除形成在中间层114上的外壳。等离子体处理包括使用具有氢气H2和氮气N2的气体。气体被离子化为等离子体并且然后应用于半导体结构100以有效地去除外壳。
在其他的实施例中,在不同的方案中,第二蚀刻工艺和等离子体处理可以应用于半导体结构100。例如,第二蚀刻工艺是阶段化的并且应用在第一时间窗口内,以及等离子体处理是阶段化的并且应用在第二时间窗口内。第二时间窗口与第一时间窗口是成对的并且跟随相应的第一时间窗口,从而使得通过等离子体处理的相应的阶段去除通过第二蚀刻工艺的每个阶段形成的外壳。在更具体的实例中,应用第二蚀刻工艺持续第一时间段,从而使得部分地去除未被中间层覆盖的下层;然后应用等离子体处理持续第二时间段;然后应用第二蚀刻工艺持续第三时间段,从而使得蚀刻穿过下层112;然后应用等离子体处理持续第四时间段;等等。
在其他的实施例中,将第二蚀刻工艺和等离子体处理集成,并且在共同的等离子体工艺中同时执行第二蚀刻工艺和等离子体处理以达到蚀刻和清洗效果。将共同的等离子体工艺设计为具有蚀刻气体和等离子体处理气体以同时实现去除下层112的蚀刻以及去除由蚀刻导致的外壳的等离子体处理。在又一实施例中,利用气体将共同的等离子体工艺应用于半导体结构100,气体包括蚀刻气体(诸如COS和O2的混合物或SO2和O2的混合物)和处理气体(N2和H2),由此,当通过蚀刻图案化下层112时同时去除外壳。
参考图7,将制造工艺应用至半导体结构100,诸如使用下层112作为掩模穿过图案化的下层112的开口至顶部材料层。在一些实施例中,使用下层112作为蚀刻掩模,将蚀刻工艺应用至半导体衬底110的顶部材料层。在一些其他的实施例中,使用下层作为注入掩模,将离子注入工艺120应用至半导体衬底110,由此在半导体衬底110中形成各个掺杂部件122,诸如掺杂阱。在又一实施例中,诸如氧化硅的介电材料层可以插入在半导体衬底110和下层112之间作为离子注入屏蔽层以提高注入质量,诸如降低离子注入工艺120期间的沟道效应。
参考图8,随后通过湿化学工艺去除中间层114和下层112。换句话说,通过湿化学工艺剥离中间层114和下层112。在一些实施例中,湿化学工艺包括应用硫酸-过氧化氢混合物(SPM)以及应用氨水-过氧化氢混合物(APM或SC-1)。SPM包括具有硫酸(H2SO4)和过氧化氢(H2O2)的溶液。在本实例中,当将SPM应用至半导体结构100时,将SPM加热至高温(高于室温)。APM包括具有氢氧化铵(NH4OH)、过氧化氢(H2O2)和水(H2O)的溶液。根据各个实施例,以任何顺序来相继将SPM和APM应用至半导体结构100。在其他的实施例中,湿化学工艺还包括将稀释的氢氟酸(DHF)应用至半导体结构100。
通过使用可剥离的中间层114以及附加地或可选地使用具有H2和N2的气体来应用等离子处理,在不损坏衬底110的情况下,有效地去除中间层114和外壳。在各个实施例中,可以在使用图案化的下层112作为处理掩模的制造工艺之后,在第二蚀刻工艺期间或与第二蚀刻工艺同时应用等离子体处理。
图19是根据一些实施例构建的图案化诸如半导体结构100的工件的方法300的流程图。方法300包括操作312,形成下层112、中间层114和光刻胶层116。具体地,操作312还包括在衬底110上形成下层112、在下层112上形成中间层114以及在中间层114上形成光刻胶层116。在一些实施例中,衬底110是半导体衬底,诸如硅衬底。在一些是实例中,下层112设计为提供对于蚀刻和/或离子注入的抵抗。下层112用作掩模以保护衬底110免受蚀刻和/或离子注入。在一些实施例中,下层112包括不含硅的有机聚合物。在一些实施例中,下层112的形成包括旋涂和利用合适的烘焙温度的热烘焙工艺。
在本实施例中,中间层114是含硅层,设计为提供相对于下层112的蚀刻选择性。在又一实施例中,中间层114用作蚀刻掩模以将图案转印至下层112。含硅中间层114和不含硅下层112设计为具有相对于彼此的蚀刻选择性。在一些实施例中,中间层114的形成包括旋涂和利用合适的烘焙温度的热烘焙工艺。在本实施例中,中间层114设计为是可湿剥离的中间层,诸如具有重量百分比小于约20%的硅浓度的含硅层。
光刻胶层116是光敏感的并且通过光刻工艺图案化该光刻胶层。通过包括旋涂和诸如软烘的烘焙工艺的工序将光刻胶层116设置在中间层114上。
方法300包括操作314,根据诸如电路布局的预定电路图案使用光刻工艺形成图案化的光刻胶层116。在一些实施例中,操作320中的光刻工艺包括应用于光刻胶层116的曝光工艺和显影工艺,由此形成图案化的光刻胶层116。曝光工艺利用光刻技术,光刻技术利用适当的辐射源和相应的辐射能量,诸如DUV或EUV。在曝光工艺期间,通过具有预定图案的光掩模将光刻胶层116暴露于辐射能量,由此产生包括曝光的区域116a和未曝光的区域116b的潜在的光刻胶图案。化学地改变曝光的区域内的光刻胶层116,使得在显影工艺期间去除曝光的部分或可选地在显影工艺期间去除未曝光的部分,这取决于光刻胶层116的类型和显影剂的类型。在一些实施例中,将显影工艺设计为在诸如喷涂或浸没的适当的模式下通过显影剂去除光刻胶层的一部分(曝光的或未曝光的)。图案化的光刻胶层116的形成还包括其他处理步骤,诸如曝光工艺后的PEB和/或显影工艺后的硬烘工艺。可以可选地通过诸如无掩模光刻、电子束写入、离子束写入或分子印迹的其他适当的技术来替换曝光工艺。
方法300包括操作316,实施第一蚀刻工艺以选择性地蚀刻中间层114,由此将电路图案从图案化的光刻胶层116转印至中间层114。使用图案化的光刻胶层116作为蚀刻掩模,将第一蚀刻工艺应用于中间层114。在各个实施例中,第一蚀刻工艺可以包括干蚀刻、湿蚀刻或它们的组合。在本实例中,第一蚀刻工艺包括使用蚀刻剂的等离子体蚀刻工艺,蚀刻剂具有氟,诸如CF2、CF3、CF4、C2F2、C2F3、C3F4、C4F4、C4F6、C5F6、C6F6、C6F8或它们的组合。在操作316之后,可以通过诸如湿剥离或等离子体灰化的适当的技术来去除光刻胶层116。
方法300包括操作318,实施第二蚀刻工艺以选择性地蚀刻下层112,由此将电路图案从图案化的中间层114转印至下层112。使用图案化的中间层114作为蚀刻掩模,将第二蚀刻工艺应用于下层112。在各个实施例中,第二蚀刻工艺可以包括干蚀刻、湿蚀刻或它们的组合。在一个实例中,第二蚀刻工艺包括使用具有羰基硫化物(COS)和氧气(O2)的蚀刻剂的等离子体蚀刻工艺。在另一实例中,第二蚀刻工艺包括使用具有二氧化硫(SO2)和氧气(O2)的蚀刻剂的等离子体蚀刻工艺。在第二蚀刻工艺之后,在图案化的中间层114上形成外壳。
方法300包括操作320,使用图案化的下层112作为掩模,对工件实施制造工艺,从而使得制造工艺仅应用于工件的位于下层112的开口内的部分,同时保护工件的被下层112覆盖的其他部分不受制造工艺影响。在一些实施例中,制造工艺包括使用下层112作为蚀刻掩模应用于半导体衬底110的顶部材料的蚀刻工艺。在一些实施例中,制造工艺包括使用下层作为注入掩模应用于半导体衬底110的离子注入工艺,由此在半导体衬底110中形成各个掺杂部件。
方法300包括操作322,去除中间层114和下层112。在操作320之后,通过使用湿化学物的湿化学工艺来去除中间层114和下层112。在一些实施例中,湿化学工艺包括应用硫酸-过氧化氢混合物(SPM)以及应用氨水-过氧化氢混合物(APM或SC-1)。SPM包括具有硫酸(H2SO4)和过氧化氢(H2O2)的溶液。APM包括具有氢氧化铵(NH4OH)、过氧化氢(H2O2)和水(H2O)的溶液。根据各个实施例,以任何顺序来相继将SPM和APM应用至工件。在其他的实施例中,湿化学工艺还包括将稀释的氢氟酸(DHF)应用至工件。
图20是根据一些实施例构建的图案化诸如半导体结构100的工件的方法330的流程图。方法330类似于图19中的方法300。然而,方法330还包括操作332,对工件实施等离子体处理。应用等离子体处理以去除通过第二蚀刻工艺在中间层114上形成的外壳。在一些实施例中,在操作318之后执行该操作。在本实施例中,等离子体处理包括使用具有氢气H2和氮气N2的气体。气体被离子化为等离子体以及然后应用至半导体结构100以有效地去除外壳。
图21是根据一些实施例构建的图案化诸如半导体结构100的工件的方法340的流程图。方法340类似于图19中的方法300。方法340也包括实施等离子体处理以去除外壳。然而,在不同的模式中应用等离子体处理。具体地,方法340包括操作342,选择性地蚀刻下层112并且去除外壳。
在操作342中,将第二蚀刻工艺和等离子体处理集成为选择性地蚀刻下层112以及去除外壳的共同的等离子体工艺。将共同的等离子体工艺设计为具有蚀刻和清洗(去除外壳)效果。共同的等离子体工艺使用蚀刻气体和等离子体处理气体以同时实现对下层112的蚀刻以及对中间层114的等离子体处理。等离子体处理的目标是去除由蚀刻导致的外壳。在又一实施例中,利用气体将共同的等离子体工艺应用于半导体结构100,气体包括蚀刻气体(诸如COS和O2的混合物或SO2和O2的混合物)和处理气体(N2和H2),由此,选择性地蚀刻下层以及也去除外壳。
在一些其他的实施例中,操作342包括阶段化的和交叉的第二蚀刻工艺和等离子体处理。例如,第二蚀刻工艺是阶段化的并且应用在第一时间窗口内,以及等离子体处理是阶段化的并且应用在第二时间窗口内。第二时间窗口与第一时间窗口是成对的并且跟随相应的第一时间窗口,从而使得通过等离子体处理的相应的阶段去除通过第二蚀刻工艺的每个阶段形成的外壳。在更具体的实例中,应用第二蚀刻工艺持续第一时间段,从而使得部分地去除未被中间层114覆盖的下层112;然后应用等离子体处理持续第二时间段;然后应用第二蚀刻工艺持续第三时间段,从而使得蚀刻穿过未被中间层覆盖的下层112;然后应用等离子体处理持续第四时间段,由此去除外壳。在本实施例中,等离子体处理包括使用具有氢气H2和氮气N2的气体。气体被离子化为等离子体并且然后应用至半导体结构100以有效地去除外壳。
在方法330或方法340中,由于包括等离子体处理以有效地去除外壳,所以中间层114可以不同于可剥离的中间层。然而,通过使用可剥离的中间层114以及等离子体处理,通过可剥离的中间层和等离子体处理的共同的效果来更加有效地去除中间层和外壳。
本发明提供了一种光刻方法以及用于三层光刻技术的可湿剥离的含硅中间层。通过使用可湿剥离的含硅中间层和/或等离子体处理,通过湿化学工艺有效地去除中间层,而不损坏衬底。在各个实施例中,可湿剥离的含硅中间层包括以重量百分比小于20%的硅。在其他的实施例中,将等离子体处理与用于图案化下层的第二蚀刻工艺集成为共同的等离子体工艺,该共同的等离子体工艺使用包含蚀刻气体和等离子体处理气体的气体。
根据一些实施例,提供了一种光刻方法。光刻方法包括在衬底上形成聚合材料的下层;在下层上形成含硅中间层,其中含硅中间层具有重量百分比小于20%的硅浓度并且是可湿剥离的;在含硅中间层上形成图案化的光敏层;实施第一蚀刻工艺以将图案化的光敏层的图案转印至含硅中间层;实施第二蚀刻工艺以将图案转印至下层;以及对含硅中间层和下层实施湿剥离工艺。
根据一些实施例,提供了一种光刻方法。光刻方法包括在衬底上形成聚合材料的下层;在下层上形成含硅中间层;在含硅中间层上形成图案化的光敏层;实施第一蚀刻工艺以将图案化的光敏层的图案转印至含硅中间层;实施第二蚀刻工艺以将图案转印至下层;对含硅中间层实施等离子体处理;以及实施湿剥离工艺以去除含硅中间层和下层。
根据一些实施例,提供了一种光刻方法。光刻方法包括在半导体衬底上形成含硅中间层;以及对含硅中间层实施烘焙工艺。含硅中间层具有重量百分比小于20%的硅浓度,并且是可湿剥离的。含硅中间层包括如下的化学结构
在化学结构中,O和Si分别表示氧和硅;a、b和c分别表示X、D和R基团的重量百分比;X表示提供交联位点的第一有机基团;D表示设计为调节消光系数和折射率的芳香族基团;以及R表示增强光敏层的粘附性和抗蚀刻性的第二有机基团。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (18)

1.一种光刻方法,包括:
在衬底上形成聚合材料的下层;
在所述下层上形成含硅中间层,其中,所述含硅中间层具有重量百分比小于20%的硅浓度,并且所述含硅中间层是可湿剥离的;
在所述含硅中间层上形成图案化的光敏层;
实施第一蚀刻工艺以将所述图案化的光敏层的图案转印至所述含硅中间层;
实施第二蚀刻工艺以将所述图案转印至所述下层;以及
对所述含硅中间层和所述下层实施湿剥离工艺;
所述光刻方法还包括:对所述含硅中间层实施等离子体处理,其中,所述第二蚀刻工艺是使用含硫气体和氧气(O2)的混合物的等离子体蚀刻工艺,所述等离子体处理使用包含氮气(N2)和氢气(H2)的气体。
2.根据权利要求1所述的光刻方法,还包括:
在实施所述第二蚀刻工艺之后以及在实施所述湿剥离工艺之前,对所述衬底实施蚀刻和离子注入中的一种。
3.根据权利要求2所述的光刻方法,其中,实施所述湿剥离工艺包括:
应用硫酸和过氧化氢的第一混合物;
应用氨酸、过氧化氢和水的第二混合物;以及
应用稀释的氢氟酸。
4.根据权利要求1所述的光刻方法,其中,形成所述含硅中间层包括:
利用具有含硅聚合材料的溶液涂覆所述衬底;以及
对所述溶液实施固化工艺,由此交联所述含硅聚合材料以形成所述含硅中间层。
5.根据权利要求1所述的光刻方法,其中,形成所述含硅中间层包括形成具有如下化学结构的含硅中间层:
其中,O和Si分别表示氧和硅;
a、b和c分别表示X、D和R基团的重量百分比;
X表示提供交联位点的第一有机基团;
D表示设计为调节消光系数和折射率的芳香族基团;以及
R表示增强所述光敏层的抗蚀刻性、粘附性的第二有机基团。
6.根据权利要求5所述的光刻方法,其中,所述第一有机基团X具有包含2至20个碳的烷基基团并且具有至少一个可交联的官能团,所述可交联的官能团选自由-I、-Br、-Cl、-NH2、-COOH、-OH、-SH、-N3、环氧基、炔烃、烯烃、酮、醛、酯、酰基卤、NHS酯、亚氨酸酯、五氟苯酯、羟甲基膦、碳二亚胺、马来酰亚胺、卤代乙酰、二硫代吡啶、硫代亚磺酸酯、乙烯基砜、酰肼、烷氧基胺、双吖丙啶、芳基叠氮化物、异氰酸酯、膦、酰胺、醚和它们的组合组成的组。
7.根据权利要求5所述的光刻方法,其中,所述芳香族基团D是发色团并且具有含有3至20个碳的烷基基团,其中,所述芳香族基团D具有至少一个光敏官能团,所述光敏官能团选自由苯基、萘基、菲酚基、蒽基、菲基和含有一至五元环的其他芳香族衍生物组成的组。
8.根据权利要求5所述的光刻方法,其中,R是含有1至20个碳的烷基基团,其中,所述烷基基团包括化学物,所述化学物选自由-I、-Br、-Cl、-NH2、-COOH、-OH、-SH、-N3、-S(=O)-、烯烃、炔烃、亚胺、醚、酯、醛、酮、酰胺、砜、乙酸、氰或它们的组合组成的组。
9.根据权利要求5所述的光刻方法,其中,所述含硅中间层包括如下的化学结构:
其中,Rab、Rbc、Rac、Ra、Rb和Rc是可酸裂解的化学间隔,其中,Rab、Rbc和Rac中的每个都包括化学结构,所述化学结构选自由芳香环、脂族基团、杂环、-C(=O)-、-C(=NR)-、-SO2、-S(=O)-、-COOR、-CONHR、-CONR2、醚、烯烃、炔烃和它们的组合组成的组。
10.根据权利要求1所述的光刻方法,其中,所述含硅中间层包括给电子基团,所述给电子基团选自由伯碳基团、仲碳基团、叔碳基团、芳香环、脂族基团、杂环、-OR、-OH、NR2、-NHR、-NH2、-SR、-SH、-PR3、-PHR2、-PH2R、-PH3、烯烃、炔烃和它们的组合组成的组。
11.根据权利要求1所述的光刻方法,其中,所述含硅中间层包括吸电子基团,所述吸电子基团选自由芳香环、脂族基团、杂环、-CN、-NO2、-C(=O)-、-COOH、-C(=NR)-、-SO2、-S(=O)-、-COOR、-OH、-CONHR、-CONR2、烯烃、炔烃、卤化物和它们的组合组成的组。
12.根据权利要求1所述的光刻方法,其中,在使用包含所述含硫气体、O2、N2和H2的气体的等离子体工艺中同时执行所述等离子体处理和所述第二蚀刻工艺。
13.根据权利要求1所述的光刻方法,其中,
所述第二蚀刻工艺是阶段化的以在第一时间窗口中执行;
所述等离子体处理是阶段化的以在第二时间窗口中执行;以及
所述第二时间窗口与所述第一时间窗口是成对的,并且所述第二时间窗口中的每个都在所述第二时间窗口的成对的一个之后。
14.一种光刻方法,包括:
在衬底上形成聚合材料的下层;
在所述下层上形成含硅中间层;
在所述含硅中间层上形成图案化的光敏层;
实施第一蚀刻工艺以将所述图案化的光敏层的图案转印至所述含硅中间层;
实施第二蚀刻工艺以将所述图案转印至所述下层;
对所述含硅中间层实施等离子体处理;以及
实施湿剥离工艺以去除所述含硅中间层和所述下层;
其中,所述第二蚀刻工艺是使用含硫气体和氧气(O2)的混合物的等离子体蚀刻工艺,所述等离子体处理使用包含氮气(N2)和氢气(H2)的气体。
15.根据权利要求14所述的光刻方法,其中,所述含硅中间层具有重量百分比小于20%的硅浓度,并且所述含硅中间层是可湿剥离的。
16.根据权利要求14所述的光刻方法,其中,在使用含硫气体、O2、N2和H2的混合物的共同的等离子体工艺中,同时执行所述等离子体处理和所述第二蚀刻工艺。
17.根据权利要求14所述的光刻方法,其中,
所述第二蚀刻工艺是阶段化的以在第一时间窗口中执行;
所述等离子体处理是阶段化的以在第二时间窗口中执行;以及
所述第二时间窗口与所述第一时间窗口是成对的,并且所述第二时间窗口中的每个都在所述第二时间窗口的成对的一个之后。
18.一种光刻方法,包括:
在半导体衬底上形成含硅中间层;以及
对所述含硅中间层实施烘焙工艺,其中,所述含硅中间层具有重量百分比小于20%的硅浓度,并且所述含硅中间层是可湿剥离的,其中,所述含硅中间层包括如下的化学结构:
其中,O和Si分别表示氧和硅;
a、b和c分别表示X、D和R基团的重量百分比;
X表示提供交联位点的第一有机基团;
D表示设计为调节消光系数和折射率的芳香族基团;以及
R表示增强光敏层的抗蚀刻性、粘附性的第二有机基团;
其中,Rab、Rbc、Rac、Ra、Rb和Rc是可酸裂解的化学间隔,其中,Rab、Rbc和Rac中的每个都包括化学结构,所述化学结构选自由芳香环、脂族基团、杂环、-C(=O)-、-C(=NR)-、-SO2、-S(=O)-、-COOR、-CONHR、-CONR2、醚、烯烃和炔烃组成的组。
CN201510755863.4A 2015-03-27 2015-11-09 具有可湿剥离的中间层的半导体结构的图案化工艺 Active CN106019849B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/671,552 2015-03-27
US14/671,552 US9543159B2 (en) 2015-03-27 2015-03-27 Patterning process of a semiconductor structure with a wet strippable middle layer

Publications (2)

Publication Number Publication Date
CN106019849A CN106019849A (zh) 2016-10-12
CN106019849B true CN106019849B (zh) 2018-04-17

Family

ID=56974322

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510755863.4A Active CN106019849B (zh) 2015-03-27 2015-11-09 具有可湿剥离的中间层的半导体结构的图案化工艺

Country Status (4)

Country Link
US (1) US9543159B2 (zh)
KR (1) KR101870496B1 (zh)
CN (1) CN106019849B (zh)
TW (1) TWI575569B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017169487A1 (ja) * 2016-03-30 2017-10-05 Jsr株式会社 レジストプロセス用膜形成材料及びパターン形成方法
US10520821B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
US20180164685A1 (en) * 2016-12-14 2018-06-14 Rohm And Haas Electronic Materials Llc Method using silicon-containing underlayers
CN108962726B (zh) * 2017-05-17 2022-01-25 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN108962727B (zh) * 2017-05-26 2021-05-14 中芯国际集成电路制造(上海)有限公司 半导体结构的制作方法
CN108962745A (zh) * 2017-05-26 2018-12-07 中芯国际集成电路制造(上海)有限公司 图案化的方法以及半导体器件的制作方法
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
CN112088436B (zh) * 2018-05-08 2024-03-08 株式会社钟化 太阳能电池的制造方法及用于该方法中的承载装置
JP7348210B2 (ja) 2018-06-13 2023-09-20 ブルーワー サイエンス アイ エヌ シー. Euvリソグラフィ用接着層
US11320738B2 (en) 2018-06-27 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and material for manufacturing semiconductor devices
EP3916760A1 (en) * 2020-05-28 2021-12-01 Imec VZW A method for producing an undercut in a 300 mm silicon-on-insulator platform

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200809921A (en) * 2006-08-07 2008-02-16 Taiwan Semiconductor Mfg Method of lithography patterning
CN103258719A (zh) * 2007-10-30 2013-08-21 Wj通信公司 使蚀刻底切最小化及提供清洁金属剥离的方法
TW201402800A (zh) * 2012-02-10 2014-01-16 Tokyo Ohka Kogyo Co Ltd 表面處理劑及表面處理方法
CN103646873A (zh) * 2013-11-29 2014-03-19 上海华力微电子有限公司 光刻胶的去除方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
JP4481902B2 (ja) * 2005-08-11 2010-06-16 信越化学工業株式会社 多層レジスト法によるパターン形成方法
KR20070098334A (ko) * 2006-03-31 2007-10-05 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200809921A (en) * 2006-08-07 2008-02-16 Taiwan Semiconductor Mfg Method of lithography patterning
CN103258719A (zh) * 2007-10-30 2013-08-21 Wj通信公司 使蚀刻底切最小化及提供清洁金属剥离的方法
TW201402800A (zh) * 2012-02-10 2014-01-16 Tokyo Ohka Kogyo Co Ltd 表面處理劑及表面處理方法
CN103646873A (zh) * 2013-11-29 2014-03-19 上海华力微电子有限公司 光刻胶的去除方法

Also Published As

Publication number Publication date
KR20160115667A (ko) 2016-10-06
TWI575569B (zh) 2017-03-21
KR101870496B1 (ko) 2018-06-22
US9543159B2 (en) 2017-01-10
CN106019849A (zh) 2016-10-12
US20160284557A1 (en) 2016-09-29
TW201635344A (zh) 2016-10-01

Similar Documents

Publication Publication Date Title
CN106019849B (zh) 具有可湿剥离的中间层的半导体结构的图案化工艺
US10281819B2 (en) Silicon-containing photoresist for lithography
KR101439394B1 (ko) 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
US8822347B2 (en) Wet soluble lithography
US10747114B2 (en) Blocking layer material composition and methods thereof in semiconductor manufacturing
TWI830691B (zh) 微影圖案化的方法
US11774855B2 (en) Lithography patterning technique
US20200064740A1 (en) Method and Apparatus of Patterning a Semiconductor Device
CN109326511A (zh) 半导体结构的形成方法
US11809080B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
US9159559B2 (en) Lithography layer with quenchers to prevent pattern collapse
CN106024592B (zh) 硅基中层组合物及相关方法
US10036957B2 (en) Post development treatment method and material for shrinking critical dimension of photoresist layer
US20180151351A1 (en) Photoresist with Gradient Composition for Improved Uniformity
US20190384172A1 (en) Extreme Ultraviolet Photoresist and Method
US10115592B2 (en) Patterning process with silicon mask layer
KR102481142B1 (ko) Euv 리소그래피를 위한 네가티브 톤 포토레지스트
US10101659B2 (en) Lithography method with surface modification layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant