CN105988306A - 具有优化的产量和稳定性的euv光刻系统和方法 - Google Patents

具有优化的产量和稳定性的euv光刻系统和方法 Download PDF

Info

Publication number
CN105988306A
CN105988306A CN201610011949.0A CN201610011949A CN105988306A CN 105988306 A CN105988306 A CN 105988306A CN 201610011949 A CN201610011949 A CN 201610011949A CN 105988306 A CN105988306 A CN 105988306A
Authority
CN
China
Prior art keywords
euv
nargin
dosage
pulse
train
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610011949.0A
Other languages
English (en)
Other versions
CN105988306B (zh
Inventor
卢彦丞
陈政宏
吴善德
严涛南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN105988306A publication Critical patent/CN105988306A/zh
Application granted granted Critical
Publication of CN105988306B publication Critical patent/CN105988306B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

本发明提供了一种极紫外(EUV)光刻工艺。该工艺包括:将晶圆加载至具有EUV源的EUV光刻系统;根据曝光剂量和EUV源的等离子体状态来确定剂量裕度;以及使用曝光剂量和剂量裕度,通过来自EUV源的EUV光来对晶圆执行光刻曝光工艺。本发明还提供了另一种极紫外(EUV)光刻工艺以及一种极紫外(EUV)光刻系统。

Description

具有优化的产量和稳定性的EUV光刻系统和方法
相关申请的交叉参考
本申请要求于2015年3月16日提交的标题为:“EUV SCANNER AND METHOD WITHOPTIMIZED THROUGHPUT AND STABILITY”的美国临时申请第62/133,882号的优先权,其全部内容结合于此作为参考。
技术领域
本发明涉及半导体领域,更具体地,涉及具有优化的产量和稳定性的EUV光刻系统和方法。
背景技术
半导体集成电路(IC)工业经历了指数型增长阶段。IC材料和设计的技术进步带来了多个IC世代,其中,每个世代都具有比先前世代更小且更复杂的电路。在IC演进过程中,功能密度(即,单位芯片面积中的互连器件的数量)通常都在增加,同时几何尺寸(即,可使用制造工艺创建的最小组件(或线))有所减小。这种规模缩小工艺通常通过增加生产效率和降低相关成本来提供很多益处。这样的规模缩小还增大了处理和制造IC的复杂程度。为了实现这些进步,需要IC加工和制造中的类似发展。例如,增加了对执行更高分辨率光刻工艺的需要。极紫外光刻(EUVL)是光刻技术的一种。EUVL采用扫描器,该扫描器使用极紫外(EUV)区域中的光,该光具有大约1nm至100nm的波长。EUV扫描器使用反射光学器件而不使用折射光学器件,即,反光镜而不是透镜。
因此,尽管现有的光刻技术通常已经能够满足于它们的预期目的,但是它们并不能在每个方面都令人满意。
发明内容
根据本发明的一个方面,提供了一种极紫外(EUV)光刻工艺,包括:将晶圆加载至具有EUV源的EUV光刻系统;根据曝光剂量和EUV源的等离子体状态来确定剂量裕度;以及使用曝光剂量和剂量裕度,通过来自EUV源的EUV光来对晶圆执行光刻曝光工艺。
根据本发明的一个实施例,光刻曝光工艺的执行包括从多脉冲串中生成EUV光;多脉冲串中的每一脉冲串都包括在被激光激发时能够生成等离子体的一组目标材料液滴;一组目标材料液滴包括第一数量Nd的剂量液滴和第二数量Nm的裕度液滴;以及剂量裕度的确定包括确定第二数量Nm。
根据本发明的一个实施例,剂量裕度的确定包括使用剂量裕度查找表格来确定对于每一个晶圆的剂量裕度。
根据本发明的一个实施例,剂量裕度的确定包括:使用来自EUV光刻系统的历史制造数据来建立剂量裕度查找表格;以及监控剂量裕度的剂量裕度变化并且根据剂量裕度变化来更新剂量裕度查找表格。
根据本发明的一个实施例,剂量裕度的监控包括使用光刻系统的EUV能量监控器来监控剂量误差的变化。
根据本发明的一个实施例,剂量裕度查找表格涉及曝光剂量、剂量裕度和脉冲串目标能量。
根据本发明的一个实施例,光刻曝光工艺的执行包括对多脉冲串中的至少一个脉冲串应用中间补偿操作。
根据本发明的一个实施例,中间补偿操作包括预补偿、去补偿和后补偿中的至少一个。
根据本发明的一个实施例,中间补偿的执行包括对前脉冲串执行预补偿。
根据本发明的一个实施例,中间补偿的执行还包括:如果后脉冲串中不存在剂量误差,那么对后脉冲串执行去补偿。
根据本发明的一个实施例,中间补偿的执行包括:当前脉冲串中出现剂量误差并且通过前脉冲串的剂量裕度未完全补偿剂量误差时,对后脉冲串执行后补偿。
根据本发明的一个实施例,还包括,在光刻曝光工艺的执行之后:对晶圆执行显影工艺,从而在晶圆上形成图案化的抗蚀剂层;以及通过图案化的抗蚀剂层的开口对晶圆执行制造工艺。
根据本发明的另一方面,提供了一种极紫外(EUV)光刻工艺,包括:将晶圆加载至具有EUV源的EUV光刻系统;将EUV光掩模加载至光刻系统;以及对晶圆执行光刻曝光工艺,其中,光刻曝光工艺的执行包括执行中间补偿操作。
根据本发明的一个实施例,中间补偿操作的执行包括执行预补偿、去补偿、后补偿和它们的组合中的一个。
根据本发明的一个实施例,中间补偿的执行包括:对前脉冲串执行预补偿;以及如果后脉冲串中不存在剂量误差,则对后脉冲串执行去补偿。
根据本发明的一个实施例,中间补偿的执行包括:当前脉冲串中出现剂量误差并且通过前脉冲串的剂量裕度未能完全补偿剂量误差时,对后脉冲串执行后补偿。
根据本发明的一个实施例,还包括:在执行光刻曝光工艺之前,根据对于晶圆的曝光剂量和EUV源的等离子体状态来确定剂量裕度,其中,光刻曝光工艺的执行包括使用剂量裕度对晶圆执行光刻曝光工艺。
根据本发明的一个实施例,剂量裕度的确定包括:使用剂量裕度查找表格来确定目标材料液滴的脉冲串中的裕度液滴的数量Nm。
根据本发明的一个实施例,剂量裕度的确定还包括:使用来自先前在EUV光刻系统中处理的晶圆的历史制造数据来建立剂量裕度查找表格;监控剂量裕度的剂量裕度变化;以及根据剂量裕度变化来更新剂量裕度查找表格。
根据本发明的又一方面,提供了一种极紫外(EUV)光刻系统,包括:EUV源,用于生成EUV辐射,其中,EUV源包括激光、目标材料液滴生成器;掩模工作台,被配置为固定EUV掩模;晶圆工作台,被配置为固定半导体晶圆;光学模块,被设计为引导来自EUV源的EUV辐射,以在光刻曝光工艺中使用剂量裕度将限定在EUV掩模上的IC图案成像至半导体晶圆;以及等离子体稳定性监控模块,用于监控EUV源的等离子体状态,其中,等离子体状态用于调整光刻曝光工艺中的应用于半导体晶圆的剂量裕度。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的各个方面。值得注意的是,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了讨论清楚,各种部件的尺寸可以被任意增加或减少。
图1是根据一些实施例构建的具有激光产生等离子体(LPP)EUV辐射源的EUV光刻系统的示意图。
图2是根据一些实施例构建的图1的EUV光刻系统中的EUV辐射源的示图。
图3是根据一些实施例构建的图1的EUV光刻系统中的EUV辐射源的示图。
图4是根据一些实施例构建的在图1的EUV光刻系统中的用于生成等离子体并且从等离子体生成EUV能量的目标材料液滴(droplet)的示图。
图5示出了根据一些实施例构建的用于分析剂量裕度(dose margin)的各种公式和计算。
图6是根据一些实施例构建的方法的流程图。
图7是根据一些实施例构建的方法的流程图。
图8示出了根据一些实施例构建的图7的方法中使用的剂量裕度查找表格。
图9是根据一些实施例构建的用于生成等离子体并且从等离子体生成EUV能量的液滴的示图。
图10是根据一些实施例构建的用于生成等离子体并且从等离子体生成EUV能量的液滴的示图。
图11是根据一些实施例构建的方法的流程图。
具体实施方式
以下公开内容提供了许多不同实施例或实例,用于实现本发明的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不意欲限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。另外,本发明可以在多个实例中重复参考标号和/或字符。这种重复是为了实现简化和清楚,但是其本身不指示所讨论的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等空间关系术语以便描述如图所示的一个元件或部件与另一元件或部件的关系。装置可以以其它方式定位(旋转90度或在其他方位),并且在本文中使用的空间相对关系描述符可同样地作相应地解释。
图1是根据一些实施例构建的光刻系统10的示意图。光刻系统10通常还可以被指代为扫描器,其可操作为根据相应的辐射源和曝光模式来执行光刻曝光工艺。在本实施例中,光刻系统10是极紫外(EUV)光刻系统,该光刻系统被设计为通过EUV光对抗蚀剂层进行曝光。抗蚀剂层是对EUV光敏感的合适的材料。EUV光刻系统10采用辐射源12来生成EUV光,诸如波长在介于大约1nm至大约100nm的范围内的EUV光。在一个特别的实例中,辐射源12生成波长集中在大约13.5nm处的EUV光。相应地,辐射源12还被称为EUV辐射源12。在本实施例中,EUV辐射源12使用激光产生等离子体(LPP)机制来生成EUV辐射,稍后将对此做进一步描述。
光刻系统10还采用照射装置14。在各个实施例中,照射装置14包括:多种折射光学组件,诸如单个透镜或具有多个透镜(波带片)的透镜系统;或可选的反射光学器件(用于EUV光刻系统),诸如单个反光镜或具有多个反光镜的反光镜系统,以将来自辐射源12的光引导至掩模工作台16上。在辐射源12生成EUV波长范围内的光的实施例中,采用了反射光学器件。
光刻系统10包括被配置为固定掩模18的掩模工作台16。在一些实施例中,掩模工作台16包括静电卡盘(e-卡盘),以固定掩模18。这是因为气体分子吸收EUV光并且将用于EUV光刻图案化的光刻系统保持在真空环境中以避免EUV强度损失。在本发明中,使用术语掩模、光掩模和中间掩模指代相同的项目。在本实施例中,光刻系统10是EUV光刻系统,并且掩模18是反射掩模。为了说明,提供掩模18的一种示例性结构。掩模18包括具有合适材料的衬底,诸如低热膨胀材料(LTEM)或熔融石英。在各个实例中,LTEM包括掺杂SiO2的TiO2或具有低热膨胀率的其他合适的材料。掩模18包括沉积在衬底上的反射多层(ML)。ML包括多个薄膜对,诸如钼-硅(Mo/Si)薄膜对(如,在每一个薄膜对中钼层位于硅层上面或下面)。可选地,ML可以包括钼-铍(Mo/Be)薄膜对或可配置为高反射EUV光的任何合适的材料。掩模18还可以包括为了保护而设置在ML上的覆盖层,诸如钌(Ru)。掩模18还包括沉积在ML上方的吸附层,诸如硼氮化钽(TaBN)层。图案化吸附层以限定集成电路(IC)的层。可选地,可以在ML上方沉积并且图案化另一反射层,以限定集成电路层,从而形成EUV相移掩模。
光刻系统10还包括用于将掩模18的图案成像至固定在光刻系统10的衬底工作台24上的半导体衬底22上的投影光学模块(或投影光学箱(POB))20。在本实施例中,POB 20具有用于投影EUV光的反射光学器件。承载限定在掩模上的图案的图像的EUV光从掩模18上引导并且由POB 20收集。照射装置14和POB 20一起被称为光刻系统10的光学模块。
光刻系统10还包括衬底工作台24,以固定半导体衬底22。在本实施例中,半导体衬底22是要被图案化的半导体晶圆,诸如硅晶圆或其他类型的晶圆。在本实施例中,半导体衬底22涂覆有对诸如EUV光的辐射束敏感的抗蚀剂层。将包括以上所述的那些组件的各个组件集成在一起并且可操作该各个组件,以执行光刻曝光工艺。
在一些实施例中,光刻系统10包括被设计为监控来自EUV辐射源12的EUV强度或能量的EUV能量监控器26。例如,EUV能量监控器26包括被设计为对EUV光敏感并且被配置为有效检测EUV光的EUV感测元件,诸如二极管。在其他的实例中,为了实现监控,EUV能量监控器26包括被配置为阵列的多个二极管以有效检测EUV光。
在一些实施例中,光刻系统10包括等离子体监控模块28,以监控辐射源12的等离子体稳定性。辐射源12的等离子体状态随时间变化。例如,目标材料用于生成等离子体,并且目标材料的状态随时间变化,诸如随着液滴尺寸,目标材料的离子化速率变化,并且等离子体浓度相应地变化。等离子体状态的变化也引起光刻曝光工艺中的EUV强度的变化。在一些实例中,等离子体监控模块28包括监控剂量裕度中的目标材料液滴的使用情况的机制。等离子体监控模块28跟踪用于光刻系统10中先前处理的半导体晶圆的目标材料液滴的使用情况的历史数据。等离子体监控模块28与辐射源12集成在一起。在一些实例中,在辐射源12中嵌入等离子体监控模块28。剂量裕度和其他的术语将在稍后阶段中进一步描述。
在一些其他的实施例中,可以通过EUV能量监控器26来实现等离子体监控模块28的功能。例如,剂量误差与等离子体不稳定性相关,通过由EUV能量监控器26来监控EUV能量,从监控的EUV能量中提取剂量误差。在这种情形中,删除等离子体监控模块28或者将该等离子体监控模块与EUV能量监控器26结合使用。
光刻系统10还可以包括其他的模块或者与其他的模块集成(或耦接)。在一些实施例中,光刻系统10包括数据库,以保持剂量裕度查找表格和历史制造数据。在一些实施例中,光刻系统10包括剂量裕度提取模块以向应用于晶圆22的光刻曝光工艺提供剂量裕度。在又一实施例中,根据剂量裕度查找表格来确定剂量裕度。在一些实施例中,光刻系统10包括被设计为保持剂量裕度查找表格的查找表格保持模块。在又一实施例中,查找表格保持模块与数据库和等离子体监控模块耦接。根据来自等离子体监控模块的剂量裕度变化,查找表格保持模块更新剂量裕度查找表格。
在一些实施例中,光刻系统10包括被设计为向辐射源12提供氢气的气体供应模块,这可以有效地保护辐射源12(诸如采集器)免受污染。在其他的实施例中,光刻系统10包括被配置为通过对应的磁场来引导等离子体的磁体。
特别地,图2还示出了根据一些实施例构建的辐射源12的示图。辐射源12采用激光产生等离子体(LPP)机制来生成等离子体并且进一步从等离子体中生成EUV光。辐射源12包括用于生成激光束32的激光30,诸如脉冲二氧化碳(CO2)激光。通过与采集器(还被称为LPP采集器或EUV采集器)36集成的输出窗口34来引导激光束。输出窗口34采用对于激光束基本透明的合适的材料。将采集器36设计为具有适当的涂覆材料和形状,以用作反光镜来收集、反射和聚焦EUV。在一些实施例中,将采集器36设计为具有椭球形几何形状。在一些实施例中,采集器36的涂覆材料与EUV掩模18的反射多层的材料类似。在一些实例中,采集器36的涂覆材料包括ML(诸如多个Mo/Si薄膜对)并且还可以包括涂覆在ML上的覆盖层(诸如钌),以基本反射EUV光。在一些实施例中,采集器36还可以包括被设计为有效地使被引导至采集器36上的激光束分散的光栅结构。例如,将氮化硅层涂覆在采集器36上并且将氮化硅层图案化为具有光栅图案。
引导激光束32以加热目标材料38,从而生成高温等离子体,该高温等离子体还产生EUV辐射(或EUV光)40。在本实施例中,目标材料38是锡(Sn)。以液滴的状态传送目标材料38。那些目标材料液滴(诸如锡液滴)还被简称为液滴。通过采集器36来收集EUV辐射40。采集器36还反射和聚焦用于光刻曝光工艺的EUV辐射。
在密封的空间(被称为源容器)中配置辐射源12。由于空气吸收EUV辐射,所以将源容器保持在真空环境中。在一些实施例中,在辐射源12中嵌入等离子体监控模块28并且将该等离子体监控模块配置为监控辐射源12的等离子体状态。
诸如图3中所示,辐射源12还可以包括集成在一起的多个其他的组件。图3是根据一些实施例构建的辐射源12的示图。辐射源12采用LPP机制。辐射源12包括用于生成激光束32的激光30,诸如脉冲CO2激光。通过诸如配置的一个或多个反光镜的束传送系统42将激光束32引导至聚焦透镜44,以聚焦激光束32。进一步通过与采集器36集成的输出窗口34对激光束32进行投影。将激光束32聚焦至诸如锡液滴的目标材料38,从而生成高温等离子体。由锡液滴生成器46来生成锡液滴。锡捕集器48还被配置为捕集锡液滴。因此生成的高温等离子体还产生EUV辐射40,通过采集器36来收集该EUV辐射。采集器36还反射和聚焦用于光刻曝光工艺的EUV辐射。激光30的脉冲和锡液滴生成器46的液滴生成速率被控制为同步,使得锡液滴38接收与激光30的激光脉冲一致的峰值功率。在一些实例中,锡液滴生成频率在从20kHz至100kHz的范围内。例如,激光30包括被设计为控制激光脉冲的生成的激光电路。激光电路与锡液滴生成器46耦接,以使激光脉冲的生成与锡液滴的生成同步。
在一些实施例中,辐射源12还包括被设计并配置为遮挡激光束32的中心遮挡件49。辐射源12还包括被配置为向EUV辐射40提供中间焦点(intermediate focus)51的中间焦点(IF)-帽模块50,诸如IF-帽快速连接模块(IF-cap quick-connect module)。IF-帽模块50还附加地用于遮挡激光束32。
在密封的空间(被称为源容器)中配置辐射源12。由于空气吸收EUV辐射,所以将源容器保持在真空环境中。辐射源12还与其他的单元/模块集成或耦接。例如,将气体供应模块与辐射源12耦接,从而提供用于各种保护功能的氢气,各种保护功能包括有效地保护采集器36不受锡粒子(锡颗粒)的污染。
图4还示出了目标材料38和EUV辐射40以及对应的机制。将目标材料液滴分为脉冲串(burst)52,通过干预时间和干预液滴54进行分离。在本实施例中,在光刻曝光工艺期间,干预液滴54将不被激光束30激发。
在光刻曝光工艺期间,辐射源12提供一系列脉冲串52。在光刻曝光工艺期间,每一脉冲串52都包括多个目标材料液滴并且被配置为提供特定EUV能量(被称为脉冲串目标能量或BTE)。当在光刻系统10期间通过光刻系统10使用EUV能量来曝光半导体衬底22时,在每一脉冲串52将EUV能量贡献至脉冲串目标能量时,曝光剂量可以达到。每一脉冲串中的目标材料液滴都被限定为两类:剂量液滴(dose droplet)56和裕度液滴(margin droplet)58。在光刻曝光工艺期间,每一脉冲串中的剂量液滴56都被激光激发,以生成等离子体和相应的等离子体生成的EUV辐射,该EUV辐射具有达到脉冲串目标能量的EUV能量。为了保持脉冲串的EUV能量达到脉冲串目标能量,将每一脉冲串52中的裕度液滴58保留下来用于剂量控制并且用作剂量液滴的候补(backup)。裕度液滴58被共同称为剂量裕度(dose margin)。由于等离子体强度的不稳定性,所以并不是所有的液滴都能贡献名义上的EUV能量。例如,当激光从一个剂量液滴中生成的等离子体具有较小的密度时,从该剂量液滴中收集的EUV能量将小于正常水平。当从脉冲串52中的剂量液滴56中生成的EUV能量不能达到脉冲串目标能量时,激发裕度液滴58或其子集以贡献附加的EUV能量,使得来自脉冲串52的总EUV能量达到脉冲串目标能量。每一脉冲串中的目标材料液滴的数量为Nt。每一脉冲串中的剂量液滴56的数量都被设为Nd并且每一脉冲串中的裕度液滴58的数量都被设为Nm。这些参数中存在如下关系:Nt=Nd+Nm。因此,当给出Nt时,增大剂量裕度将减少脉冲串目标能量。
图4还示出了EUV能量40。每一脉冲串需要提供脉冲串目标能量60以满足曝光剂量的需要。这种能量是从所述脉冲串中的剂量液滴56累积的EUV辐射能量。脉冲串目标能量是期望从脉冲串中收集以达到曝光剂量的EUV能量。作为示出的一个实例,假定一脉冲串具有5个液滴并且每一个液滴生成1.5毫焦耳(mj)EUV能量。如果BTE是4.5mj,那么3个液滴将累积达到BTE而脉冲串中剩余的2个液滴可以用作剂量裕度。因此,脉冲串中的3个液滴用作剂量液滴并且2个液滴保留为裕度液滴。当液滴未被激发时,系统10提供激发该液滴的机制。例如,激光30的激光生成器将控制激光束的脉冲,使得对应的激光脉冲偏离而不撞击液滴。
当EUV光强度未达到设定的目标BTE时,将激发裕度液滴或其子集以补偿EUV能量不足。在现有的机制中,确定剂量裕度时无需考虑曝光剂量和EUV辐射稳定性。如果EUV强度低于设定的目标(这被称为剂量误差),将激发裕度液滴以生成EUV光。对于最坏情形中的EUV不足,裕度液滴58的数量Nm足够大以提供足够的补偿。然而,存在如下两难选择:需要保留脉冲串中的多少液滴(Nm)作为裕度液滴以用于剂量控制。当Nm较小时,在最坏的情形中,剂量裕度可能会不足。当Nm较大并且更多的液滴用于剂量控制时,剂量裕度足以补偿EUV能量不足。然而,缺点是剂量液滴56的数量Nd是受限的。在这种情形中,BTE减少并且产量也将减少。
在本实施例中,目标材料液滴以固定的速率移动;激光脉冲以固定的频率生成;并且根据曝光剂量改变光刻曝光工艺期间的晶圆扫描速度。现有的方法在忽略曝光剂量(和晶圆扫描速度)和等离子体不稳定性的情况下仅提供一般的剂量裕度(Nm)。然而,在光刻曝光工艺期间,需要的剂量裕度依赖于晶圆扫描速度。一方面,当曝光剂量较大时,扫描速度较低,以从更多的脉冲串中累积更多的EUV能量来满足曝光剂量。因此产量较低。另一方面,扫描速度越快,系统10需要越大数量Nm的裕度液滴58,以匹配等离子体不稳定性与EUV能量不足。为了确保按照相应的产品规范对所有产品进行处理,具有最高扫描速度的产品将限制最小剂量裕度。然而,其他的产品不需要这么大的剂量裕度。因此,产量将会由于过多的剂量裕度而受损。
如图5所示,将从我们下文的分析中清楚这点。由于等离子体的不稳定性,所以来自脉冲串的EUV能量不固定,但是就概率来讲是可预测的。假设从第一脉冲串累积的EUV能量落在高斯分布中,通过图5中的高斯分布函数62进行描述。在函数62中,变量x是EUV能量;Ba(x)是第一脉冲串具有EUV能量x的概率;μa是来自第一脉冲串的平均能量;σa是与从第一脉冲串中生成的等离子体的不稳定性相关联的标准差;以及σa 2是方差。类似地,假设从第二脉冲串累积的EUV能量符合另一高斯分布,通过高斯分布函数64进行描述。在函数64中,变量x是EUV能量;Bb(x)是第二脉冲串具有EUV能量x的概率;μb是来自第二脉冲串的平均能量;σb是与从第二脉冲串中生成的等离子体的不稳定性相关联的标准差;以及σb 2是方差。
从第一和第二脉冲串两者中累积的EUV能量具有作为第一分布62和第二分布的卷积的聚集分布66。聚集分布66是另一高斯分布,其中变量x是来自第一和第二脉冲串的EUV能量;Ba+b(x)是第一和第二脉冲串具有EUV能量x的概率;μa+b是来自第一和第二脉冲串的平均能量;并且Ba+b(x)的对应的方差是σa 2b 2,其与第一和第二脉冲串两者的等离子体不稳定性相关联。如果还从具有第三分布的第三脉冲串累积曝光剂量,那么通过Ba+b(x)和第三分布的卷积来确定聚集分布。然后第四脉冲串、第五脉冲串等等。
假设从N脉冲串累积曝光剂量,并且还假设所有N脉冲串是相同的并且遵从相同高斯分布(相同的μ和σ)。在这种情形中,聚集的EUV能量分布函数BN(x)68被简化为具有平均能量Nμ和标准差的高斯分布。注意,平均能量随着因子N增大,但是标准差随着因子增大。因此,剂量误差与图5中的公式70描述的成正比。由于曝光剂量与数量N成正比,所以公式70表明曝光剂量越大,剂量误差越小。当曝光剂量减少时,剂量误差增大。
此外,我们的试验和对历史数据的分析显示:等离子体不稳定性可以随着时间变化。换句话说,标准差σ随时间变化。例如,等离子体稳定性与目标材料(诸如锡)的使用寿命相关。当锡材料处于使用寿命后期时,锡液滴具有更大的波动(fluctuation)。因此,从而生成的等离子体,以及由等离子体生成的EUV能量不太稳定并且具有更大的波动。因此,剂量误差会随着时间的变化和晶圆的不同而变化。
根据以上分析,最小剂量裕度取决于对应的曝光剂量并且进一步取决于等离子体不稳定性(或通常是EUV强度的不稳定性)。公开的方法76以及实施该方法的系统10提供了动态确定剂量裕度(Nm)的有效途径。在方法76中,对于每一个晶圆都确定剂量裕度或更具体地是裕度液滴的数量Nm。具体地,根据曝光剂量和等离子体不稳定性来确定Nm。
图6示出了根据一些实施例构建的用于通过光刻系统10实施的EUV光刻工艺的方法76的流程图。
方法76包括操作78,将诸如掩模18的EUV掩模加载至可用于执行EUV光刻曝光工艺的光刻系统10。掩模18包括将被转印至诸如晶圆22的半导体衬底的IC图案。操作78还可以包括各种步骤,诸如将掩模18固定在掩模工作台16上并且执行对准。
方法76包括操作80,将晶圆22加载至光刻系统10。利用抗蚀剂层来涂覆晶圆22。在本实施例中,抗蚀剂层对来自光刻系统10的辐射源12的EUV辐射敏感。
方法76包括操作82,确定对于晶圆22的剂量裕度。在操作82中,剂量裕度的确定是基于晶圆的并且根据曝光剂量和等离子体不稳定性来确定剂量裕度。在这种情形中,对剂量裕度的确定,确定了脉冲串中的裕度液滴58的数量Nm。
特别地,根据曝光剂量来确定Nm。当产品不同时,曝光剂量可能不同。如图5中的公式70所述,当曝光剂量ED增大时,参数N成比例地增大;并且剂量误差在统计上随着因子减小。换句话说,剂量误差 ∝ 1 / E D 并且 N m ∝ 1 / E D .
在操作82中,通过使用制造数据、公式、查找表格或它们的组合来确定参数Nm。在第一个实例中,收集来自先前处理的晶圆的剂量误差数据并且用剂量误差数据确定Nm。先前处理的晶圆是利用与晶圆22相同的曝光剂量通过光刻系统10来曝光的那些晶圆。
在一些实施例中,使用剂量裕度查找表格通过方法90来确定剂量裕度。图7是方法90的流程图并且图8是示例性剂量裕度查找表格98。参考图6至图8描述的方法90。
方法90包括操作92,建立剂量裕度查找表格,诸如剂量裕度查找表格98。剂量裕度查找表格是根据与光刻曝光工艺(通过光刻系统10)相关的制造历史数据(诸如剂量误差)建立的。剂量裕度查找表格98涉及曝光剂量、剂量裕度和脉冲串目标能量。在查找表格98中,第一列是以诸如毫焦耳(mJ)为适当的单位的曝光剂量(“PR剂量”);第二列是用百分比表示的剂量裕度(“需要的剂量裕度”);并且第三列是以诸如mJ为适当的单位的脉冲串目标能量(“目标能量”)。在操作92中,如上所述,根据曝光剂量来建立剂量裕度查找表格,使剂量裕度最小化为满足光刻曝光工艺的EUV能量补偿的同时最大化脉冲串目标能量以及最大化产量。剂量裕度查找表格一旦建立,就通过操作96来保持该剂量裕度查找表格,并且使用该剂量裕度查找表格来确定操作94中的对于每一个晶圆的剂量裕度。在操作94中,剂量裕度根据曝光剂量通过查找表格98来确定。如果曝光剂量不存在表格中但是介于两个相邻曝光剂量之间,那么可以通过诸如插值法(interpolation)的适当的技术来确定曝光裕度。表格98还提供了对应的脉冲串目标能量。在操作96处,监控剂量裕度的变化并且相应地调整表格98。例如,根据光刻曝光工艺的监控数据,如果剂量裕度偏离对应的剂量裕度(诸如20%),那么调整表格98,使剂量裕度调整回原始值(诸如20%)。那么,可以根据剂量裕度变化来动态保持表格98,其中由诸如EUV能量监控器26通过监控制造数据来监控该剂量裕度变化。
在一些实施例中,使用公式70或来确定参数Nm。在另一实例中,使用制造数据来确定对于参考曝光剂量ED0的参数Nm0;并且相对于参考参数Nm0和ED0,使用公式来确定对于其他曝光剂量ED的Nm。因此,根据曝光剂量来优化剂量裕度,并且因此最大化产量。
在本实施例中,附加地根据等离子体不稳定性确定Nm。由于等离子体状态因晶圆不同而变化,所以即使两个晶圆的曝光剂量相同,也可以将剂量裕度确定为不同的值。在本实施例中,监控等离子体状态并且根据等离子体状态,诸如通过前馈模式,来调整参数Nm。在这种情形中,由于等离子体状态变化通常是连续的,所以将来自第一晶圆的等离子体状态前馈至随后的晶圆,以根据来自第一晶圆的等离子体状态来调整后面随后的晶圆的剂量裕度(Nm)。在另一实施例中,根据从相同晶圆中提取的等离子体状态,通过反馈模式来调整参数Nm。例如,当监控的EUV能量具有较高的剂量误差时,可以将与晶圆相关联的剂量裕度(Nm)调整为较高值。
在一些实施例中,可以通过等离子体监控模块28来监控等离子体状态。在一些实施例中,可以通过EUV能量监控器26来监控等离子体状态。在这种情形中,可以将等离子体监控模块28和EUV能量监控器26结合在一个监控模块中。如以上所述,剂量误差与等离子体状态相关。EUV能量监控器26监控EUV能量的剂量误差并且根据剂量误差来控制激发裕度液滴58。监控的剂量误差不仅用于激发用于补偿EUV能量不足的裕度液滴58而且用作等离子体状态的指标,以调整剂量裕度(Nm)。
应该注意,剂量裕度或参数Nm没有必要是整数而是可以设定为任何合适的实数。存在这种可能是由于多个脉冲串可以用于曝光相同的点以达到曝光剂量。剂量裕度可以不均衡地分布在多个脉冲串中,使得平均剂量裕度与参数Nm匹配。例如,10脉冲串用于曝光相同的点,那么10倍的脉冲串目标能量达到曝光剂量。当参数Nm是2.4时,那么四脉冲串中的每一脉冲串都具有3个剂量液滴并且剩余的6脉冲串中的每一个都具有2个剂量液滴。因此,在10脉冲串中,剂量液滴的平均数量是2.4。
在操作84中,根据曝光剂量(与晶圆扫描速度相关联)和EUV辐射稳定性(与等离子体不稳定性相关联)来动态地确定每一个晶圆的剂量裕度。如果晶圆扫描速度较低(曝光剂量较高),那么BTE增大并且剂量裕度降低,以具有高产量。此外,附加地根据等离子体稳定性来确定剂量裕度。相同份额的晶圆可以具有相同的曝光剂量和不同的等离子体稳定性。因此,对于相同份额的晶圆的剂量裕度可以被确定为不同,使得裕度液滴足以补偿EUV不足并且最大化剂量液滴的数量。
在本实施例中,通过曝光剂量(晶圆扫描速度)和等离子体稳定性(EUV稳定性)两者来共同确定剂量裕度(Nm)。例如,通过曝光剂量首先确定最初剂量裕度。因此,用于相同曝光工艺的相同产品中的晶圆可以具有相同的初始剂量裕度。还根据等离子体稳定性来调整初始剂量裕度,以向特定的晶圆提供最终剂量裕度。在又一实例中,等离子体稳定性越低(等离子体不稳定性越高),将最终剂量裕度调整至越高。调整的量可以与先前处理的晶圆(或多个晶圆)中的剂量误差相关。
在另一实例中,监控脉冲串能量的等离子体稳定性。将脉冲串能量限定为从脉冲串中的剂量液滴累积的EUV能量。在这种情形中,设计等离子体稳定性监控模块28,以监控先前处理的晶圆中的脉冲串能量。当脉冲串能量达到BTE时,由于不需要能量补偿,所以将不使用剂量裕度中的液滴。脉冲串能量分布可以用作等离子体稳定性的指标。在先前处理的晶圆中,当脉冲串能量具有较大范围的分布或分布范围有变大的趋势时,等离子体稳定性较低。根据脉冲串能量分布来调整剂量裕度。
方法76包括操作84,在光刻系统10中对晶圆22执行光刻曝光工艺。在操作84中,通过合适的机制使激光30与锡液滴生成器46同步(具体地,激光脉冲与锡液滴生成同步),诸如通过具有定时器的控制电路来控制上述两者并且使其同步。同步的激光30激发剂量液滴56并且生成等离子体,从而生成EUV辐射。在操作84期间,生成的EUV辐射照射在掩模18上(通过照射装置14),并且还投影在涂覆在晶圆22上的抗蚀剂层上(通过POB 20),从而在抗蚀剂层上形成潜影(latent image)。在本实施例中,在扫描模式中实施光刻曝光工艺。
特别地,在光刻曝光工艺期间,激发剂量液滴56。还监控EUV能量,诸如通过EUV能量监控器26。当出现剂量误差(累积的脉冲串能量小于BTE)时,为了达到BTE(从而达到曝光剂量),通过激光30来激发剂量裕度中的裕度液滴58或其一部分,以提供附加的EUV能量来补偿EUV能量不足。因此,使激光脉冲与锡液滴生成同步,以激发对应的裕度液滴。
在一些实施例中,在不牺牲产量的情况下,使用预补偿来提供附加的剂量裕度。在这种情形中,提前使用一脉冲串中的任何未被使用的裕度液滴来补偿潜在的EUV能量不足。在现有的方法中,如果不使用脉冲串中的裕度液滴来补偿该脉冲串的剂量误差,那么将不再使用该裕度液滴并且该裕度液滴将被浪费。在公开的方法中,激发一脉冲串中的裕度液滴并且用于随后的脉冲串。因此,在不增大Nm(对于剂量裕度的让步)的情况下,可以增大剂量裕度。在稍后阶段中进一步描述操作“预补偿”和其他相关概念。
方法76可以包括其他操作以完成光刻曝光工艺。例如,方法76可以包括操作86,在操作86中显影曝光的抗蚀剂层以形成上面限定有多个开口的抗蚀剂图案。在一个实例中,抗蚀剂层是正型(positive tone)的;通过显影液去除抗蚀剂层的暴露部分。在另一个实例中,抗蚀剂层是负型(negative tone)的;留下抗蚀剂层的暴露部分;并且通过显影液去除未暴露的部分。在又一实例中,抗蚀剂层是负型的并且显影液是负型的;通过显影液去除抗蚀剂层的暴露部分。在又一实例中,抗蚀剂层是正型的并且显影液是负型的;留下抗蚀剂层的暴露部分;并且通过显影液去除未暴露的部分。
特别地,在操作84的光刻曝光工艺之后,将晶圆22从光刻系统10转移出至显影单元以执行操作86。方法76还可以包括其他的操作,诸如各种烘焙步骤。作为一个实例,方法76可以包括介于操作84与86之间的曝光后烘焙(PEB)步骤。
方法76还可以包括其他的操作,诸如操作88,以通过抗蚀剂图案的开口来对晶圆执行制造工艺。在一个实例中,制造工艺包括:使用抗蚀剂图案作为掩模,对半导体衬底22或半导体衬底上的材料层应用蚀刻工艺。在另一个实例中,制造工艺包括:使用抗蚀剂图案作为注入掩模,对半导体衬底22执行离子注入工艺。在操作88之后,可以通过湿剥离或等离子体灰化去除抗蚀剂层。
因此,在不过多地牺牲BTE和产量的情况下,动态确定每一个晶圆的剂量裕度变得更大以足以补偿EUV能量不足。在不脱离本发明的精神和范围的情况下,可以存在其他的替代方式或实施例。在一个实例中,可以使用诸如相移EUV掩模的其他类型的EUV掩模,以进一步增强光刻曝光工艺的分辨率。在另一实例中,目标材料可以使用其他合适的材料来生成高温等离子体。
如上所述,在不增大剂量裕度的预算的情况下,通过来自相邻脉冲串的裕度液滴来补偿在光刻曝光工艺期间的一脉冲串中的EUV能量不足。图9示出了根据一些实施例构建的预补偿方法的示意图。预补偿是激发先前脉冲串中的剂量裕度来补偿随后脉冲串中的能量不足的操作。在图9示出的一个实例中,目标材料液滴38具有三脉冲串52(从左至右称为第一、第二和第三)。每一脉冲串都具有五个液滴,五个液滴中的两个是裕度液滴,并且其他三个液滴用作剂量液滴。用于预补偿而被激发的那些裕度液滴在图9中被标注为102。在第一脉冲串(在图9的最左侧)中,来自剂量液滴(脉冲串中的前三个液滴)的累积的脉冲串能量达到BTE 60。由于当前脉冲串中不存在剂量误差,所以可以不使用剂量裕度中的液滴。然而,在预补偿方法中,由于激发剂量裕度中的液滴102(或其子集),所以当前脉冲串中的脉冲串能量超出BTE 60。来自液滴102的额外的能量被称为预补偿并且用于补偿随后脉冲串中的潜在的能量不足。当第二脉冲串(中间脉冲串)中出现剂量误差时,来自第一脉冲串的额外的能量用于补偿第二脉冲串中的能量不足。因为两脉冲串都用于曝光相同的点。因此,可以保持对于该点的总曝光能量达到曝光剂量。类似地,也可以激发第三脉冲串中的液滴102,以生成用于预补偿的额外的能量。通过使用预补偿,系统10得到附加的裕度来补偿能量不足。这样降低了出现剂量误差的概率。因此,进一步减小了Nm,从而提高产量。
图10还示出了根据一些实施例构建的用于预补偿的方法。相对于BTE60示出了多脉冲串的EUV能量40。在一种方法中,在光刻曝光工艺中使用多脉冲串104。当多脉冲串104中的一脉冲串中出现剂量误差时,该脉冲串中的裕度液滴用于补偿EUV能量不足。如果剂量误差大于来自脉冲串中的裕度液滴的EUV能量,那么不能完全补偿剂量误差。
在另一方法中,在光刻曝光工艺期间,对于多脉冲串106实施预补偿。在目标材料液滴中存在两个相邻的脉冲串。为了更好的说明,一脉冲串被称为前脉冲串,并且刚好在前脉冲串之后的另一脉冲串被称为后脉冲串。当后脉冲串中出现剂量误差时,使用前脉冲串中的裕度液滴来补偿与后脉冲串的剂量误差相关联的能量不足。可选地,共同使用前脉冲串中的裕度液滴和后脉冲串中的裕度液滴来补偿能量不足。为了保持适当的曝光剂量,使用去补偿和预补偿。当使用前脉冲串的裕度液滴(或子集)来补偿后脉冲串的能量不足时,后脉冲串可以不具有剂量误差。在这种情形中,后脉冲串可以仅使用剂量液滴的子集来抵消通过预补偿在前脉冲串中生成的过多的能量,以平衡总能量来满足曝光剂量。
通过实施预补偿,将裕度液滴转入随后的脉冲串。在一些实例中,如果后脉冲串不具有剂量误差,那么可以成对使用预补偿和去补偿。在一些实例中,可以实施后补偿以通过后脉冲串中的裕度液滴来补偿前脉冲串的EUV能量不足。在又一其他的实例中,可以对不直接相邻的脉冲串应用预补偿或后补偿。
在光刻曝光工艺期间,在脉冲串106中从左至右示出并且解释了各种实例。第一脉冲串具有预补偿;由于没有剂量误差,所以第二脉冲串具有去补偿;第三脉冲串具有预补偿;由于通过第三脉冲串的预补偿补偿了剂量误差,所以第四脉冲串不实施预补偿或去补偿;第五脉冲串具有预补偿;由于通过第五脉冲串的预补偿补偿了剂量误差,所以第六脉冲串不实施预补偿或去补偿;第七脉冲串具有通过脉冲串中的裕度液滴未被完全补偿但是通过随后脉冲串进行后补偿的剂量误差;第八脉冲串具有后补偿;第九脉冲串具有预补偿;并且由于没有剂量误差,所以第十脉冲串具有去补偿。在图10中,脉冲串下面的参数“1”、“0”和“-1”分别表明累积的剂量误差“超出能量”、“在范围内”和“不足能量”。方法76可以在操作84的光刻曝光工艺中至少实施预补偿、去补偿和后补偿的子集。
还参考图11将预补偿和其他的过程描述为方法76的操作84的流程图。操作84包括光刻曝光工艺。在光刻曝光工艺期间,操作84对前脉冲串执行预补偿108,以补偿后脉冲串中的潜在的EUV能量不足。当后脉冲串中不存在剂量误差时,操作84还对后脉冲串执行去补偿110以平衡EUV能量。如果后脉冲串中出现剂量误差,那么可以跳过操作110。当又一脉冲串中出现剂量误差并且剂量误差未被前脉冲串中的裕度液滴完全补偿时,那么操作84可以对后脉冲串执行后补偿112,以补偿来自前脉冲串的EUV能量不足。在光刻曝光工艺期间,多脉冲串106需要多少就可以执行多少预补偿108、去补偿110和后补偿112。在光刻曝光工艺期间,前脉冲串和后脉冲串是指多脉冲串106中任何两个相邻的脉冲串。预补偿108、去补偿110和后补偿112共同称为中间补偿。在其他的实施例中,可以对于缺少操作82的其它光刻工艺实施中间补偿。
根据一些实施例,提供了实施光刻曝光工艺的方法76和光刻系统10。在方法76中,根据曝光剂量和等离子体状态来动态地确定每一个晶圆的剂量裕度(Nm)。在一些实施例中,实施中间补偿操作(诸如预补偿108、去补偿110和后补偿112)来补偿EUV能量不足。本发明的一些实施例提供了优于现有技术的优势,但是应当理解,其他实施例可以提供不同的优势,本文未必论述所有的优势,并且并不是所有的实施例都必须包含特别的优势。通过使用所公开的方法,动态地确定每一个晶圆的剂量裕度以变得足够大以足以补偿EUV能量不足而不过多地牺牲BTE和产量。因此,光刻曝光工艺的产量得以提高。由于根据等离子体状态调整剂量裕度,所以优化了光刻系统的稳定性。通过实施中间补偿,相邻脉冲串之间共用裕度液滴,使得还可以在不牺牲总剂量裕度的情况下减小裕度液滴的数量Nm。因此,进一步增大了光刻曝光工艺的产量。
因此,根据一些实施例,本发明提供了一种极紫外(EUV)光刻工艺。该工艺包括:将晶圆加载至具有EUV源的EUV光刻系统;根据曝光剂量和EUV源的等离子体状态来确定剂量裕度;以及使用曝光剂量和剂量裕度,通过来自EUV源的EUV光来对晶圆执行光刻曝光工艺。
根据一些其他的实施例,本发明提供了一种EUV光刻工艺。该工艺包括:将晶圆加载至具有EUV源的EUV光刻系统;将EUV光掩模加载至光刻系统;以及对晶圆执行光刻曝光工艺,其中光刻曝光工艺的执行包括执行中间补偿操作。
根据一些实施例,本发明还提供了一种极紫外(EUV)光刻系统。该系统包括:EUV源,用于生成EUV辐射,其中EUV源包括激光、目标材料液滴生成器;掩模工作台,被配置为固定EUV掩模;晶圆工作台,被配置为固定半导体晶圆;光学模块,被设计为引导来自EUV源的EUV辐射以在光刻曝光工艺中使用剂量裕度将EUV掩模上的IC图案成像至半导体晶圆;以及等离子体稳定性监控模块,监控EUV源的等离子体状态,其中等离子体状态用于调整在光刻曝光工艺中应用于半导体晶圆的剂量裕度。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他的处理和结构用于达到与本发明所介绍实施例相同的目的和/或实现相同优点。本领域技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种极紫外(EUV)光刻工艺,包括:
将晶圆加载至具有EUV源的EUV光刻系统;
根据曝光剂量和所述EUV源的等离子体状态来确定剂量裕度;以及
使用所述曝光剂量和所述剂量裕度,通过来自所述EUV源的EUV光来对所述晶圆执行光刻曝光工艺。
2.根据权利要求1所述的EUV光刻工艺,其中,
所述光刻曝光工艺的执行包括从多脉冲串中生成所述EUV光;
所述多脉冲串中的每一脉冲串都包括在被激光激发时能够生成等离子体的一组目标材料液滴;
所述一组目标材料液滴包括第一数量Nd的剂量液滴和第二数量Nm的裕度液滴;以及
所述剂量裕度的确定包括确定所述第二数量Nm。
3.根据权利要求2所述的EUV光刻工艺,其中,所述剂量裕度的确定包括使用剂量裕度查找表格来确定对于每一个晶圆的剂量裕度。
4.根据权利要求3所述的EUV光刻工艺,其中,所述剂量裕度的确定包括:
使用来自所述EUV光刻系统的历史制造数据来建立所述剂量裕度查找表格;以及
监控所述剂量裕度的剂量裕度变化并且根据所述剂量裕度变化来更新所述剂量裕度查找表格。
5.根据权利要求4所述的EUV光刻工艺,其中,所述剂量裕度的监控包括使用所述光刻系统的EUV能量监控器来监控剂量误差的变化。
6.根据权利要求1所述的EUV光刻工艺,其中,所述光刻曝光工艺的执行包括对多脉冲串中的至少一个脉冲串应用中间补偿操作。
7.根据权利要求6所述的EUV光刻工艺,其中,所述中间补偿操作包括预补偿、去补偿和后补偿中的至少一个。
8.根据权利要求7所述的EUV光刻工艺,其中,所述中间补偿的执行包括对前脉冲串执行所述预补偿。
9.一种极紫外(EUV)光刻工艺,包括:
将晶圆加载至具有EUV源的EUV光刻系统;
将EUV光掩模加载至所述光刻系统;以及
对所述晶圆执行光刻曝光工艺,其中,所述光刻曝光工艺的执行包括执行中间补偿操作。
10.一种极紫外(EUV)光刻系统,包括:
EUV源,用于生成EUV辐射,其中,所述EUV源包括激光、目标材料液滴生成器;
掩模工作台,被配置为固定EUV掩模;
晶圆工作台,被配置为固定半导体晶圆;
光学模块,被设计为引导来自所述EUV源的所述EUV辐射,以在光刻曝光工艺中使用剂量裕度将限定在所述EUV掩模上的IC图案成像至所述半导体晶圆;以及
等离子体稳定性监控模块,用于监控所述EUV源的等离子体状态,其中,所述等离子体状态用于调整所述光刻曝光工艺中的应用于所述半导体晶圆的所述剂量裕度。
CN201610011949.0A 2015-03-16 2016-01-08 具有优化的产量和稳定性的euv光刻系统和方法 Active CN105988306B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562133882P 2015-03-16 2015-03-16
US62/133,882 2015-03-16
US14/717,890 2015-05-20
US14/717,890 US9678431B2 (en) 2015-03-16 2015-05-20 EUV lithography system and method with optimized throughput and stability

Publications (2)

Publication Number Publication Date
CN105988306A true CN105988306A (zh) 2016-10-05
CN105988306B CN105988306B (zh) 2018-04-10

Family

ID=56852975

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610011949.0A Active CN105988306B (zh) 2015-03-16 2016-01-08 具有优化的产量和稳定性的euv光刻系统和方法

Country Status (5)

Country Link
US (3) US9678431B2 (zh)
KR (1) KR101731720B1 (zh)
CN (1) CN105988306B (zh)
DE (1) DE102015109260B4 (zh)
TW (1) TWI587099B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9678431B2 (en) 2015-03-16 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography system and method with optimized throughput and stability
US10359710B2 (en) * 2015-11-11 2019-07-23 Asml Netherlands B.V. Radiation system and optical device
US10495987B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system
US10165664B1 (en) 2017-11-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for decontaminating windows of an EUV source module
US10925142B2 (en) * 2018-07-31 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation source for lithography exposure process
US10802406B2 (en) * 2018-08-17 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
KR20210035427A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 극자외선 발생 장치
US20230259035A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Characterization of photosensitive materials
WO2024056330A1 (en) * 2022-09-12 2024-03-21 Asml Netherlands B.V. Mixed energy control in an euv lithography system

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030223542A1 (en) * 2002-05-28 2003-12-04 Henry Shields Droplet target delivery method for high pulse-rate laser-plasma extreme ultraviolet light source
US6882704B2 (en) * 2002-10-30 2005-04-19 Xtreme Technologies Gmbh Radiation source for generating extreme ultraviolet radiation
CN103034066A (zh) * 2011-09-28 2013-04-10 Asml荷兰有限公司 用于控制euv曝光剂量的方法和euv光刻方法及使用这样的方法的设备
CN103038669A (zh) * 2010-06-22 2013-04-10 卡尔·奥托 用于估计和操作所估计的辐射剂量的系统和方法
WO2013083335A2 (en) * 2011-12-06 2013-06-13 Asml Netherlands B.V. Radiation source
TW201433216A (zh) * 2013-01-10 2014-08-16 Cymer LLC 用以調整雷射光束脈衝時序以調節極端紫外光劑量之方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4878108B2 (ja) 2004-07-14 2012-02-15 キヤノン株式会社 露光装置、デバイス製造方法、および測定装置
US8513629B2 (en) * 2011-05-13 2013-08-20 Cymer, Llc Droplet generator with actuator induced nozzle cleaning
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
NL2009020A (en) 2011-07-22 2013-01-24 Asml Netherlands Bv Radiation source, method of controlling a radiation source, lithographic apparatus, and method for manufacturing a device.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
JP6077822B2 (ja) * 2012-02-10 2017-02-08 ギガフォトン株式会社 ターゲット供給装置、および、ターゲット供給方法
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
JP2013251100A (ja) * 2012-05-31 2013-12-12 Gigaphoton Inc 極紫外光生成装置及び極紫外光生成方法
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US9238243B2 (en) * 2012-09-28 2016-01-19 Asml Netherlands B.V. System and method to adaptively pre-compensate for target material push-out to optimize extreme ultraviolet light production
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US8872122B2 (en) 2013-01-10 2014-10-28 Asml Netherlands B.V. Method of timing laser beam pulses to regulate extreme ultraviolet light dosing
US8872123B2 (en) * 2013-01-10 2014-10-28 Asml Netherlands B.V. Method of timing laser beam pulses to regulate extreme ultraviolet light dosing
JP6168797B2 (ja) * 2013-03-08 2017-07-26 ギガフォトン株式会社 極端紫外光生成装置
US9678431B2 (en) * 2015-03-16 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography system and method with optimized throughput and stability

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030223542A1 (en) * 2002-05-28 2003-12-04 Henry Shields Droplet target delivery method for high pulse-rate laser-plasma extreme ultraviolet light source
US6882704B2 (en) * 2002-10-30 2005-04-19 Xtreme Technologies Gmbh Radiation source for generating extreme ultraviolet radiation
CN103038669A (zh) * 2010-06-22 2013-04-10 卡尔·奥托 用于估计和操作所估计的辐射剂量的系统和方法
CN103034066A (zh) * 2011-09-28 2013-04-10 Asml荷兰有限公司 用于控制euv曝光剂量的方法和euv光刻方法及使用这样的方法的设备
WO2013083335A2 (en) * 2011-12-06 2013-06-13 Asml Netherlands B.V. Radiation source
TW201433216A (zh) * 2013-01-10 2014-08-16 Cymer LLC 用以調整雷射光束脈衝時序以調節極端紫外光劑量之方法

Also Published As

Publication number Publication date
US9678431B2 (en) 2017-06-13
TW201635040A (zh) 2016-10-01
US10156790B2 (en) 2018-12-18
DE102015109260A1 (de) 2016-09-22
US20170277040A1 (en) 2017-09-28
TWI587099B (zh) 2017-06-11
US10520823B2 (en) 2019-12-31
KR20160111310A (ko) 2016-09-26
KR101731720B1 (ko) 2017-04-28
US20160274465A1 (en) 2016-09-22
DE102015109260B4 (de) 2018-06-28
CN105988306B (zh) 2018-04-10
US20190121241A1 (en) 2019-04-25

Similar Documents

Publication Publication Date Title
CN105988306B (zh) 具有优化的产量和稳定性的euv光刻系统和方法
US9869934B2 (en) Collector in an extreme ultraviolet lithography system with optimal air curtain protection
KR101717856B1 (ko) 극자외선 리소그래피 콜렉터 오염 감소
KR101807687B1 (ko) 이산 소스 마스크 최적화
US10459345B2 (en) Focus-dose co-optimization based on overlapping process window
TWI600977B (zh) 用於三維特徵之微影模型
CN102612667A (zh) 光刻设备以及器件制造方法
CN102918461A (zh) 用于去除污染物粒子的系统、光刻设备、用于去除污染物粒子的方法以及用于制造器件的方法
JP6116128B2 (ja) リソグラフィ装置および方法
CN102177470B (zh) 收集器组件、辐射源、光刻设备和器件制造方法
US20210041787A1 (en) Apparatus and method for generating extreme ultraviolet radiation
JP4966410B2 (ja) リソグラフィ装置およびデバイス製造方法
KR102283977B1 (ko) 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
US11852978B2 (en) EUV lithography system with 3D sensing and tunning modules
TW202323988A (zh) 在半導體製造製程中使遮罩曝光於極紫外光的方法
TW202405581A (zh) 用於去耦合與半導體製造相關之變異來源之方法
CN112305871A (zh) 照明器、光刻装置和调整曝光辐射的强度均一性的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant