TW201635040A - 用於極紫外線微影程序的方法 - Google Patents

用於極紫外線微影程序的方法 Download PDF

Info

Publication number
TW201635040A
TW201635040A TW104136450A TW104136450A TW201635040A TW 201635040 A TW201635040 A TW 201635040A TW 104136450 A TW104136450 A TW 104136450A TW 104136450 A TW104136450 A TW 104136450A TW 201635040 A TW201635040 A TW 201635040A
Authority
TW
Taiwan
Prior art keywords
dose
euv
cluster
tolerance
lithography
Prior art date
Application number
TW104136450A
Other languages
English (en)
Other versions
TWI587099B (zh
Inventor
盧彥丞
陳政宏
吳善德
濤南 嚴
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201635040A publication Critical patent/TW201635040A/zh
Application granted granted Critical
Publication of TWI587099B publication Critical patent/TWI587099B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

一種用於極紫外線(extreme ultraviolet,EUV)微影程序的方法。在此方法中,將晶圓裝載至EUV微影系統,其中,EUV微影系統具有EUV源。根據曝光劑量以及EUV源的電漿狀態來決定劑量容限。使用曝光劑量與劑量容限藉由來自EUV源的EUV光來對晶圓執行微影曝光程序。

Description

用於極紫外線微影程序的方法
本發明係有關於一種用於極紫外線(extreme ultraviolet,EUV)微影程序的方法,其透過互相補償操作來補償EUV能量的不足。
半導體積體(integrated circuit)電路產業經歷了指數級的增長。在IC材料和設計方面的技術發展提出了多個IC世代,其中,每一代比上一代具有更小且更複雜的電路。IC路進化的過程中,功能密度(即,每個晶片區域互連的置的數量)通常增加,而幾何尺寸(即可以使用的製造過程而創建的最小元件(或導線))減少。這種尺寸縮小處理一般藉由提高生產效率並降低相關費用而提供優勢。尺寸縮小也增加了IC加工與製造的複雜度。為了將實現的發展,在IC加工與製造中需要相類似的進展。舉例來說,執行較高解析度微影程序的需求增加。光刻技術的其中一者是極紫外線微影(extreme ultraviolet lithography,EUVL)。EUVL利用一些掃描器,而這些掃描器使用在極紫外線(EUV)區域且具有大約1-100nm波長的光。EUV掃描器使用反射光,而不是折射光,即是以鏡子取代鏡頭。
因此,儘管現有微影技術總體上已足以達到預期目的,微影技術在各個方面並不完全令人滿意。
本發明提供一種用於極紫外線(extreme ultraviolet,EUV)微影程序的方法。此方法包括以下步驟:將晶圓裝載至EUV微影系統,其中,EUV微影系統具有EUV源;根據曝光劑量以及EUV源的電漿狀態來決定劑量容限;以及使用曝光劑量與劑量容限藉由來自EUV源的EUV光來對晶圓執行微影曝光程序。
本發明另提供一種用於極紫外線(extreme ultraviolet,EUV)微影程序的方法。此方法包括以下步驟:將晶圓裝載至EUV微影系統,其中,EUV微影系統具有EUV源;將EUV光遮罩裝載至EUV微影系統;以及對晶圓執行微影曝光程序。執行微影曝光程序的步驟包括執行互相補償操作。
本發明提供一種極紫外線(extreme ultraviolet,EUV)微影系統。此EUV微影系統包括EUV源、遮罩台、晶圓台、光學模組、以及電漿穩定性監控模組。EUV源產生EUV輻射。EUV源包括雷射以及標靶材料液滴產生器。遮罩台用來固定EUV遮罩。晶圓台用來固定半導體晶圓。光學模組引導來自EUV源的EUV輻射,以在微影曝光程序中使用劑量容限來將定義於EUV遮罩上的IC圖樣成像於半導體晶圓。電漿穩定性監控模組用來監控EUV源的電漿狀態。電漿狀態在實施於半導體晶圓的微影曝光程序中用來調整劑量容限。
10‧‧‧微影系統
12‧‧‧輻射源
14‧‧‧發光器
16‧‧‧遮罩台
18‧‧‧遮罩
20‧‧‧投影光學模組
22‧‧‧半導體基底(半導體晶圓)
24‧‧‧基底台
26‧‧‧EUV能量監控器
28‧‧‧電漿監控模組
30‧‧‧雷射
32‧‧‧雷射光束
34‧‧‧窗口
36‧‧‧收集器
38‧‧‧標靶材料
40‧‧‧EUV輻射
42‧‧‧光束傳送系統
44‧‧‧聚焦鏡頭
46‧‧‧錫液滴產生器
48‧‧‧錫獲捕器
49‧‧‧中央捕獲器
50‧‧‧中商焦點遮蓋模組
51‧‧‧中間焦點
52‧‧‧叢集
54‧‧‧中間液滴
56‧‧‧劑量液滴
58‧‧‧容限液滴
60‧‧‧BTE
62、64、66、68‧‧‧函數
70‧‧‧公式
76‧‧‧方法
78、80、82、84、86、88‧‧‧步驟
90‧‧‧方法
92、94、96‧‧‧步驟
98‧‧‧劑量容限查找表
102‧‧‧容限液滴
104、106‧‧‧叢集
108、110、112‧‧‧步驟
200‧‧‧移動方向
210‧‧‧雷射的激發
第1圖表示根據本發明多個實施例,具有雷射激發型電漿 (laser-produced plasma,LPP)EUV輻射源的EUV微影系統的示意圖。
第2圖表示根據本發明一些實施例,在第1圖的EUV微影系統中的EUV輻射源的示意圖。
第3圖表示根據本發明一些實施例,在第1圖的EUV微影系統中的EUV輻射源的示意圖。
第4圖表示根據本發明一些實施例,在第1圖的EUV微影系統中用來產生電漿的標靶材料液滴與來自電漿的EUV能量的示意圖。
第5圖表示根據本發明一些實施例,用來分析劑量容限的各種公式與計算。
第6圖表示根據本發明一些實施例的方法流程圖。
第7圖表示根據本發明一些實施例的方法流程圖。
第8圖表示根據本發明一些實施例,用於第7圖的方法的劑量容限查找表。
第9圖表示根據本發明一些實施例,用來產生電漿的液滴與來自電漿的EUV能量的示意圖。
第10圖表示根據本發明一些實施例,用來產生電漿的液滴與來自電漿的EUV能量的示意圖。
第11圖表示根據本發明一些實施例的方法流程圖。
下文提供多個相異實施例或示範例,以實現本發明的不同特徵。為了能簡化本案說明書,下文將敘述元件與配置的多個具體例子。當然,這些例子僅為示範例而並不被限制 於此。舉例來說,在說明書中,第一特徵在第二特徵上方或在第二特徵之上的構成可包括第一與第二特徵是以直接接觸方式來形成的實施例,也可包括在第一與第二特徵之間形成其他特徵而使第一與第二特徵無法直接接觸的實施例。此外,本說明書在不同示範例中可能重複使用參考數字以及/或字母。此重複是為了說明書的簡潔與清楚,其本身並非指定在所討論的不同實施例以及/或配置之間的關係。
空間相對術語(Spatially Relative Terms),例如”向...下面”、”在...之下”、”低於”、”在...之上”、”上面的”等等類似術語,在這裡係出於描述簡便而用來描述一元件或特征相對於其它元件或特征的如圖中所示的關係。裝置可定向在其他方位(旋轉90度或在其它方位),因此這里使用的空間相對描述詞據此解釋。
第1圖係表示根據本發明實施例的微影系統10的示意圖。微影系統10一般也可稱為一掃描器,其操作來以各自的輻射源與曝光模式來執行微影曝光程序。在此實施例中,微影系統10是一種極紫外線(extreme ultraviolet,EUV)微影系統,其設計來以EUV光來對一阻劑層進行曝光。此阻劑層是一種對EUV光敏感的適合材料。EUV微影系統10利用輻射源12來產生EUV光,例如具有波長範圍介於大約1nm與大約100nm之間的EUV光。在一實施例中,輻射源12產生波長集中在大約13.5nm的EUV光。如此一來,輻射源12也稱為EUV輻射源12。在本實施例中,EUV輻射源12採用雷射激發型電漿(laser-produced plasma,LPP)來產生EUV發光,此將於下文 中敘述。
EUV微影系統10也利用發光器14。在不同的實施例中,為了將來自輻射源12的光線導向遮罩台16,發光器14包括不同的折射光學元件,例如,一單一透鏡或是具有多個透鏡(波帶片)的一透鏡系統;或者包括(用於EUV微影系統)的交替反射光學器件,例如,一單一反射鏡或者是具有多個反射鏡的一反射鏡系統。在輻射源12產生在EUV波長範圍內的光線的實施例中,則採用反射光學器件。
微影系統10包括遮罩台16,其配置來固定遮罩18。在一些實施例中,遮罩台16包括靜電吸盤(electrostatic chuck,EUV微影圖案化方面的微影系統被保持在真空環境,以避免EUV強度損失。在本案說明書中,所使用的遮罩、光罩、以及網線等術語指的是相同的項目。在此實施例中,微影系統10為一EUV微影系統,且遮罩18是一反射遮罩。將提出遮罩18的一示範架構來進行說明。遮罩18包括具有適當材料的基底,例如,低熱膨脹材料(low thermal expansion material,LTEM)或熔融石英。在不同的實施例中,LTEM包括參雜氧化鈦(TiO2)的氧化矽(SiO2)、或者是具有低熱膨脹的其他適合材料。遮罩18包括配置在基底的一反射多層(multiple layer,ML)。此反射多層包括複數薄膜對,例如鉬-矽(molybdenum-silicon,Mo/Si)薄膜對(例如,在每一薄膜對中,在矽層的上方或下方配置鉬層)。在其他實施例中,反射多層包括複數鉬-鈹鉬-矽(molybdenum-beryllium,Mo/Be)薄膜對,或者其他能高度反射EUV光的其他適合材料。遮罩18可 更包括一覆蓋層,例如,配置在反射多層上用於保護的釕(Ru)。遮罩18還包括吸收層,例如配置在反射多層上的氮化鉭硼(tantalum boron nitride,TaBN)。吸收層被圖形化來定義一積體電路的一層。在其他實施例中,可在反射多層上配置另一反射層,並將其圖形化以定義一積體電路的一層,藉此形成EUV相移層。
微影系統10也包括一投影光學模組(或投影光學箱(POB))20,以將遮罩18的圖樣成像於固定在微影系統10的基底台24上的半導體基底22。在此實施例中,PNB 20具有反射光學器件,以投影EUV光。載有在遮罩上所定義的圖樣的影像的EUV光係來自遮罩18且由POB 20來收集。發光器14以及POB 20共同視為微影系統10的一光學模組。
微影系統10也包括基底台24,以固定半導體基底22。在此實施例中,半導體基底22是一半導體晶圓,例如,矽晶圓或其他將被圖形化的晶圓類型。半導體基底22被對輻射光束(例如本實施例的EUV光)靈敏的阻劑層。包括上述的各種元件被結合在一起,且可操作來執行微影曝光程序。
在一些實施例中,微影系統10包括EUV能量監控器24,其配置來監控EUV強度或是來自EUV發光元12的能量。舉例來說,EUV能量監控器26包括EUV感測元件,例如一個二極體,其對EUV光靈敏且有效地偵測EUV光。在其他例子中,EUV能量監控器26包括配置在一陣列的多個二極體,以有效地偵測EUV光,藉此達到監控目的。
在一些實施例中,微影系統10包括電漿監控模組 28,以監控發光元12的電漿穩定度。發光元12的電漿狀態是隨著時間而改變。舉例來說,一標靶材料被使用來產生電漿,且此標靶材料的狀態隨著時間改變,例如液滴尺寸,來自標靶材料的游離速率改變,且電漿同度因此而改變。電漿狀態的變化也導致在微影曝光程序中EUV強度的改變。在一些實施例中,電漿監控模組28包括一種機制,期監控標靶材料液滴在劑量容限的使用。電壓監控模組28追蹤用於在微影系統10中先前處理的半導體晶圓的標靶材料液滴的使用歷史資料。電漿監控模組28與輻射源12結合。在一些例子中,電漿監控模組28內建於輻射源12中。劑量容限與其他專門名詞將於下階段敘述。
在一些其他的實施例中,電漿監控模組25的功能可由EUV能量監控器26來實現。舉例來說,劑量誤差是有關於電漿不穩定度相關,透過由EUV能量監控器26來監控EUV能量,自監控到的能量獲取劑量誤差。在此情況下,電漿監控器28被排除或者與EUV能量監控器26結合。
微影系統10可更包括其他模組或與其他模組結合(或耦接)。在一些實施例中,微影系統10包括一資料庫,其保持一劑量容限查找表以及歷史製造資料。在一些實施例中,微影系統10包括一劑量容限擷取模組,以提供一劑量容限給實施在晶圓22的微影曝光程序。在這些實施例的推進中,劑量容限是根據劑量容限查找表來決定。在一些實施例中,微影系統10包括一查找表維持模組,器用來維持劑量容限查找表。在這些實施例的推進中,查找表維持模組耦接資料庫與電漿監控模組。查找表維持模組根據來自電漿監控模組的劑量容限變化來 更新劑量容限查找表。
在一些實施例中,微影系統10包括一氣體供應模組,其用來提供氫氣給輻射源12,這有效地保護輻射源12(例如,收集器)以避免遭受汙染。在其他實施例中,微影系統10包括磁鐵,其藉由對應的磁場來引導電漿。
具體來說,根據一些實施例,輻射源12由第2圖的示意圖來表示。輻射源12採用雷射激發型電漿(LPP)機制來產生電漿,且更產生來自電漿的EUV光。輻射源12包括雷射30,例如脈衝二氧化碳(CO2)雷射,以產生雷射光束。此雷射光束直接地穿過與收集器(也稱為LPP收集器或EUV收集器)36結合成一體的輸出窗口34。輸出窗口34採用適當的材料,其對雷射光束來說實質上是透明的。收集器36具有適合的塗層材料和形狀,其作用如同作為EUV收集、反射、與聚焦的反射鏡。在一些實施例中,收集器36具有橢圓的幾何形狀。在一些實施例中,收集器36的塗層材料相似於EUV遮罩18的反射多層。在一些實施例中,收集器36的塗層材料包括一反射多層(multiple layer,ML)(例如,複數鉬-矽(Mo/Si)薄膜對),且更包括一覆蓋層(例如,釕(Ru)),其覆蓋在反射多層上以實質上反射RUV光。在一些實施例中,收集器36可更包括一柵狀結構,其有效地分散被指向至收集器36的雷射光束。例如,一氮化矽層覆蓋在收集器36上,且被圖形化以具有柵狀圖樣。
雷射光束32用來加熱標靶材料38,藉此產生高溫電漿,其進一步產生EUV輻射(或EUV光)40。在此實施例中,標靶材料38為錫(Tin(Sn))。這些標靶材料液滴(例如錫液滴) 也簡單地稱為液滴。EUV輻射40被收集器36所收集。收集器36更反射並集中EUV輻射,以用於微影曝光程序。
輻射源12配置在一封閉空間(稱為光源槽)。由於空氣吸收EUV輻射,因此光源槽保持在真空環境。在一些實施例中,電漿監控模組28內建於輻射源12,且用來監控輻射源12的電漿狀態。
輻射源12可更包括多個其他結合在一起的元件,例如第3圖所示的元件。第3圖是根據本發明一些實施例的輻射源12。輻射源12採用雷射激發型電漿(LPP)機制。發光元12包括雷射30,例如脈衝二氧化碳(CO2)雷射,以產生雷射光束。此雷射光束由光束傳送系統42(例如配置有一或多個反射鏡)來指引至聚焦鏡頭44,以集中雷射光束32。雷射光束32更透過與收集器36結合成一體的輸出窗口34來投射。雷射光束32集中在標靶材料38,例如錫液滴,藉此產生高溫電漿。錫液滴係由習液滴產生器46所產生。錫獲捕器48更用來接住錫液滴。因此,產生的高溫電漿更產生EUV輻射40,其被收集器36所收集。收集器36更反射並集中EUV輻射,以用於微影曝光程序。雷紹30的脈衝以及錫液滴產生器46的液滴產生速率被控制為同步,使得錫液滴38接收來自雷射器30的雷射脈衝的最高功率。在一些實施例中,錫液滴產生頻率介於2kHz至100Hz的範圍中。舉例來說,雷射30包括一雷射電路,器用來控制雷射脈衝的產生。此雷射電路與錫液滴產生器46用來同步化雷射脈衝的產生與錫液滴的產生。
在一些實施例中,輻射源12更包括中央遮蔽器 49,其設計與配置來遮掩雷射光束32。輻射源12也包括中間焦點遮蓋(intermediate focus(IF)-cap,IF-cap)模組50,例如,IF-cap快速連接模組,期提供中間焦點51給EUV輻射40。IF-cap模組50可同時操作來遮掩雷射光束32。
輻射源12配置在一封閉空間(稱為光源槽)。由於空氣吸收EUV輻射,因此光源槽保持在真空環境。在一些實施例中,電漿監控模組28內建於輻射源12,且用來監控輻射源12的電漿狀態。雷射光源12可更與其他單元/模組結合獲偶接。例如,一氣體供應模組耦接輻射源12,藉此提供供氫氣給輻射源12,這給予了保護作用,包括有效地保護收集器360以避免遭受到錫微粒(錫殘粒)的汙染。
標靶材料液滴38、EUV輻射、以及對應的機制將透過第4圖來說明。標靶材料液滴歸類在多個叢集(burst)52,這些叢集是由中間時間以及中間液滴54來分隔。在此實施例中,於微影曝光程序期間,中間液滴54將不會被雷射光束30激發。在第4圖中,符號200表示移動方向,符號210表示雷射的激發。
在微影曝光程序期間,輻射源12提供一系列的叢集54。每一叢集52包括複數標靶材料液滴,且在微影曝光程序期間用來提供某程度的EUV能量(稱為,叢集目標能量或BTE)。當在微影曝光程序期間由微影系統10使用EUV能量來使半導體基底22曝光時,於每一叢集52促成EUV能量到叢集目標能量時則可到達曝光劑量。在每一叢集中的標靶材料液滴定義為兩類:劑量液滴56以及容限液滴58。在微影曝光程序期 間,每一叢集的劑量液滴56將被雷射激發以產生電漿,且因此產生具有到達叢集目標能量的EUV能量的電漿激發型EUV輻射光。為了能維持EUV能量到達叢集目標能量,在每一叢集52的容限液滴58是儲備給劑量控制,且作為劑量液滴的備用。容限液滴58共同稱為劑量容限。由於電漿強度的不穩定性,並非所有的液滴提供標稱EUV能量。舉例來說,當來自劑量液滴的雷射激發型電漿具有較低的密度時,收集自劑量液滴的EUV能量將少於標稱位準。當產生自在叢集52中劑量液滴56的EUV能量無法到達叢集目標能量時,複數容限液滴58或其子集將被激發以提供額外的EUV能量,如此一來,來自叢集52的EUV能量到達叢集目標能量。在每一叢集中的標靶材料液滴的數量為Nt。在每一叢集中的劑量液滴56的數量為Nd,且在每一叢集中的容限液滴58的數量為Nm。這些參數之間的關係是Nt=Nd+Nm。因此,當以定義Nt時,增加溶劑容限將會減少叢集目標能量。
EUV能量40也顯示於第4圖。每一叢集需要提供叢集目標能量60,以符合曝光劑量。此能量是來自在該叢集的劑量液滴56的累積EUV輻射能量。此叢集目標能量是為了達到曝光劑量而期望收集自該叢集的EUV能量。以一是範例來說明,假捨一個叢集具有5個液滴且每一液滴產生1.5ml(millijoule)EUV能量。假使BTE為4.5mj,則3個液滴將累積BTE且在叢集中剩下的兩的液滴將被保留作為容限液滴。當一個液滴將不被激發時,系統10提供一種機制去實現。舉例來說,雷射30的雷射產生器將控制雷射光束的脈衝,使得對應的雷射脈衝偏移以 避免擊中液滴。
當EUV光強度沒有達到設定目標-BET,複數容限液滴或其一子集合被激發以補償EUV能量的不足。在現有的機制中,劑量容限的決定不需考量曝光劑量以及EUV輻射穩定度。假使EUV強度低於設定目標(其稱為劑量誤差),容限液滴將被激發以產生EUV光。容限液滴58的數量Nm多到足以在最差的狀況下能提供在足夠的補償給EUV的匱乏。然而,這會遇到一個問題,那就是在叢集中需要備留多少的液滴(Nm)來做為容限液滴呢?當Nm較小時,在最糟的情況下劑量容限可能不足。當Nm較大且較多的液滴作為劑量控制時,劑量容限足以補償EUV能量的不足。然而,缺點是劑量液滴56的數量Nd被限制住。在此情況下,BTE減少,且生產率(throughput)也減少。
在此實施例中,標靶材料液滴在固定的速率下移動,雷射脈衝以固定的頻率來產生,且在微影曝光程序期間的晶圓掃描速度根據曝光劑量來改變。現有的方法不論曝光劑量(以及晶圓掃描速度)與電漿的不穩定性,只提供一般的劑量容限(Nm)。然而,需要的劑量容限是取決於在微影曝光程序期間的晶圓掃描速度。另一方面,當曝光劑量較大時,掃描速度較慢以累積來自更多叢集的較高EUV能量,以符合曝光劑量。生產率因此較低。又另一方面,當掃描速度越快,系統10所需來匹配電漿不穩定性與EUV能量不足的容限滴液58的數量越大。為了確保所有的產品能在各自出產規格下處理,具有最高掃描速度的產品將限制最小劑量容限。然而,其他產品則 不需要如此大的劑量容限。如此一來,他們的生產率因為過度的劑量容限而將被犧牲了。
以下將透過第5圖來請處的說明。由於電漿的不穩定性,來自一叢集的EUV能量並非固定的,但是從概率上來看是可預測的。假設自第一叢集累積的EUV能量呈現一高斯分布(Gaussian distribution),其由的6圖的高絲分布函數62來描述。在函數62中,可變數x表示EUV能量;Ba(x)是指具EUV能量x的第一叢集的可能性;μa表示來自第一叢集的平均能量;σa表示與產生自第一叢集的電漿的不穩定性關關聯的標準差;σa 2表示此變化。同樣的,假設自第二叢集累積的EUV能量呈現另一高斯分布,其由的6圖的高絲分布函數64來描述。在函數64中,可變數x表示EUV能量;Bb(x)是指具EUV能量x的第二叢集的可能性;μb表示來自第二叢集的平均能量;σb表示與產生自第二叢集的電漿的不穩定性關關聯的標準差;σb 2表示此變化。
自第一與第二叢集累積的EUV能量具有一共同分布66,如同第一分布62與第二分布64的卷積(convolution)。此共同分布(函數)66為另一高斯分布,其中,可變數x表示來自第一與第二叢集的EUV能量;Ba+b(x)是指具EUV能量x的第一與第二叢集的可能性;μa+b表示來自第一與第二叢集的平均能量;Ba+b(x)的對應變化是σa 2b 2,其是與產生自第一與第二叢集的電漿的不穩定性關聯的標準差。假使曝光劑量更累積自具有的三分布的第三叢集,共同分布則藉由Ba+b(x)與第三分布的卷積來決定。然後,第四叢集、第五叢集等等,以此類推。
假設曝光劑量累積自N個叢集,請更假設所有N個 叢集都一樣且遵守相同的高斯分布(相同的μ與σ)。在此情況下,共同EUV能量分布函數BN(x)68簡化至具有平均能量Nμ與標準差的高斯分布。須注意,平均能量是以一個因數N來增加,但是標準差是以因數來增加。如此一來,劑量誤差與成比例,如同第5圖的公式70所表示。當曝光劑量與數量N成比利時,公式70指示出曝光劑量越大,劑量誤差越小。當曝光劑量減少十,劑量誤差則增加。
此外,我們的經驗以及歷史資料的分析展現出電漿不穩定性可能隨著時間改變。換句話說,標準差σ隨著時間改變。舉例來說,電漿不穩定性與標靶材料(例如錫)的壽命相關。當錫材料處於較晚的壽命,錫液滴具有較多的波動。如此一來,因此產生的電漿與由此店將所產生的EUV能量是較不穩定的並具有較多的波動。因此,劑量誤差可能會逐時間或逐晶圓而改變。
根據上述分析,最小的劑量容限是取決於對應的曝光劑量,且更取決於電漿的不穩定性(或一般是指EUV強度的不穩定性)。所接露的方法76與實施此方法的系統10一起提供有效的方式來動態的決定劑量容限(Nm)。在此方法76中,劑量容限,尤其是容限液滴的數量Nm,是針對每一晶圓而定的。具體來說,Nm是根據曝光劑量與電漿不穩定性來確定的。
第6圖是表示根據本發明實施例的方法76的流程圖,其用於由微影系統10所實施的EUV微影程序中。
方法76包括步驟78,於其中,裝載EUV遮罩,例如的遮罩18,至微影系統10,此微影系統10是操作來執行一EUV 微影曝光程序。遮罩18包括即將被轉移到一半導體基底(例如晶圓22)的一IC圖樣。步驟78可更包括各種步驟,例如將遮罩18固定在遮罩台16以及執行一調正操作。
方法76包括步驟80,於其中,將晶圓22裝載在微影系統10。晶圓22被覆蓋一阻劑層。在此實施例中,此阻劑層對來自微影系統10的輻射源的EUV輻射感到靈敏。
方法76包括步驟81,於其中,決定對於晶圓22的劑量容限。在步驟82中,劑量容限是基於晶圓而決定的,且是根據曝光劑量與電漿不穩定性來決定的。在此實施例中,劑量容限的決定是決定在一叢集的容限液滴58的數量Nm。
尤其是,Nm根據曝光劑量而定。當一產品不同時,曝光劑量也可能不同。如第5圖的公式70所述,當曝光劑量ED增加時,參數N成比例的增加,且劑量誤差在統計上以因數來減少。換句話說,劑量誤差,且
在步驟82中,決定參數Nm是藉由使用製造資料、公式、查找表、或這些的結合來完成。在第一個例子中,來自先前處理的晶圓的劑量誤差資料被收集,且用來決定Nm。先前處理的晶圓是指,被微影系統10以晶圓22的相同曝光劑量來曝光的那些晶圓。
在一些實施例中,劑量容限是使用一劑量容限查找表經由方法90來決定。第7圖系表示方法90的流程圖,而第8圖是劑量容限查找表98的一示範實施例。方法90將參閱第6-8圖來說明。
方法90包括步驟92,於其中,建立一劑量容限查 找表,例如劑量容限查找表98。劑量容限查找表根據關於(由微影系統10所執行的)微影曝光程序的製造歷史資料來建立,例如劑量誤差。劑量容限查找表98敘述了曝光劑量、劑量容限、以及叢集目標能量。在查找表98中,第一行是具有適當單位(例如毫焦耳(millijoule,mJ))的曝光劑量(”DS劑量”);第二行是以百分比表示的劑量容限(”所需劑量容限”);第三行是具有適當單位(例如,mJ)的叢集目標能量(”目標能量”)。在步驟92中,如上所述,劑量容限查找表根據曝光劑量來建立,使得劑量容限得以最小化,足以用於具有最小化叢集目標能量與微影曝光程序的最小生產率的能量補償。一旦劑量容限查找表建立,劑量容限查找表透過步驟96來維持,且在步驟94中用來決定每一晶圓的劑量容限。在步驟94中,藉由查詢表單98以根據曝光劑量來決定劑量容限。假使曝光劑量不在表單98中但是藉由兩個相鄰的曝光劑量之間時,可由一適當手段來決定,例如內插法。對應的叢集目標能量也提供在表單98中。在步驟98中,監控劑量容限的變化,且藉此調整表單98。舉例來說,根據微影曝光程序的被監控的資料,假使劑量容限自對應的劑量容限(例如20%)偏移時,表單98被調整,以使得劑量容限調整回原來的數值(例如20%)。因此,可根據劑量容限變化來動態地維持表單98,這可透過製造資料來監控,例如EUV能量監控器26。
在一些實施例中,參數Nm使用公式70或來決定。在一例子中,參數Nm0是決定作為使用製造資料的參考曝光劑量ED0;對於其他曝光劑量ED的Nm,相對於參考參數 是使用公式Nm=來決定。因此,劑量容限根據曝光劑量而最佳化,且生產率因此最大化。
在本實施例中,Nm額外的根據電漿不穩定性來決定。由於電將狀態逐晶圓而不同,因此,即使兩個晶圓的曝光劑量相同,而劑量容限可決定為不同數值。在此實施例中,電漿狀態被監控,且參數Nm根據電將狀態來調製整,例如透過前饋(feed forward)模式來調整。在此情況下,由於電漿狀態變化通常是連續的,因此來自第一晶圓的電漿狀態前饋至一接續晶圓,使得後面的接續晶圓的劑量容限(Nm)根據來自第一晶圓的電漿狀態來調整。在另一實施例中,參數Nm根據擷取自相同晶圓的店將狀態透過回授模式來調整。舉例來說,當監控到的EUV能量具有較高的劑量誤差,與此晶圓相關的劑量容限(Nm)可被調整至一較高數值。
尤其是,在微影曝光程序期間,劑量液滴56被激發。EUV能量也被監控,例如被EUV能量監控器26所監控。當發生劑量誤差(累積的叢集能量低於BTE)時,為了能達到BTE(藉由達到曝光劑量),在劑量容限中的容限液滴58或其一部分被雷射30激發,以提供額外的EUV能量,以補償EUV能量的不足。如此一來,雷射脈衝以及錫液滴產生可同步化,以積分對應的容限液滴。
在一些實施例中,使用預卜長來提供劑量容限而不需犧牲生產率,在此例子中,在一叢劑中任何未被使用的溶劑液滴被使用,以預先補償潛在的EUV能量不足。在現有的方法中,假使在一叢集中的一容限液滴未被用來補償該叢集的劑 量誤差,該絨線劑量將絕不會用使用也將會浪費掉。在此實施例中,在一叢集中的一容限液滴被激發,且用於後續的叢集。因此,不需增加Nm(對劑量容限的推移),劑量容向增加。”預補償”操作與其他相關的概念將於後文中敘述。
方法76可包括其他步驟以實現微影曝光程序。舉例來說,方法76可包括步驟86,於其中,對曝光阻劑層劑型顯影,以形成具有複數在阻劑層上所定義的複數開孔的阻劑圖樣。在一例子中,阻劑層為正極性;且阻劑層的曝光部分由顯影液所移除。在另一例子中,阻劑層為負極性;且阻劑層的曝光部分保留,而未曝光的部分由顯影液所移除。在又一例子中,阻劑層為負極性且顯影液為負極性,阻劑層的曝光部分由顯影液所移除。在又一例子中,阻劑層為正極性且顯影液為負極性,阻劑層的曝光部分保留,而未曝光部分由顯影液所移除。
尤其是,在步驟84的微影曝光程序之後,晶圓22被轉移出微影系統10到一顯影單元以執行步驟86。方法76更包括其他步驟,例如烘烤步驟。例如,方法76在步驟84與86之間更包括曝光後烘烤步驟(post-exposure baking,PEB)。
方法76可能更包括其他步驟,例如步驟88,以透過阻劑圖樣的開孔來對晶圓執行一製造程序。在一例子中,製造程序包括藉由使用阻劑圖樣作為浸融遮罩,來對半導體基底22或半導體基底的一金屬層實施一浸融程序。在另一實施例中,製造程序包括藉由使用阻劑圖樣作為一佈植遮罩,對半導體基底22執行一離子佈植程序。在步驟88之後,阻劑層可藉由濕式剝離(wet tripping)或電漿灰化(plasma ashing)來被移除。
因此,劑量容限隨每一晶圓來動態地決定變為較大,足以補償EUV能量的不足但不須過度犧牲BTE與生產率。在不脫離本發明的精神與範圍下可具有其他實施例。在一例子中,其他類型的EUV遮罩,例如相位偏移EUV遮罩,可用來進一步提高微影曝光程序的解析度。在另一例子中,物標材料可使用其他適當的材料來產生高溫電漿。
如上所述,不須增加劑量容限的推移,在微影曝光程序期間在一叢集中EUV能量不足的補償,由來自一相鄰叢集的容限液滴來實現。第9圖系表示根據本發明一實施例,預補償的示意圖。預補償是激發在先前叢集中的劑量容限來補償在接續叢集中能量不足的一種操作。在第9圖的實施例中,標靶材料液滴38具有三個叢集52(有左到右稱為,第一、第二、與第三叢集)。每一叢集具有五個液滴,其中兩個液滴為容限液滴,其他三個則作為劑量液滴。用於預補償而被激發的這些容限液滴在第9圖中以符號102來標示。在第一叢集(位在第9圖中最左邊)中,累積自劑量液滴(在此叢集中的前面三個液滴)的叢集能量到達BTE 60。由於在當前的叢集中沒有劑量誤差,在劑量容限中的液滴可能不會被使用。然而,在預補償方法中,在劑量容限的多個液滴102(或其子集)被激發,使得在當前叢集中的叢集能量超過BTE 60。來自液滴102的額外能量稱作預補償,且用來補償在接續叢集中潛在的能量不足。當在第二叢集(中間的叢集)中發生劑量誤差時,來自第一叢集的額外能量則用來曝光相同的點。給予該點的總鋪光能量可因此被維持住以達到曝光劑量。同樣地,在第三叢集中的液滴也 可被激發以產生額外容限以補償能量不足。劑量誤差發生的可能性降低。因此,Nm可能更加減少,藉此提高生產率。
第10圖系表示根據本發明一實施例的預補償方法。以與BTE 60相對照的方式來呈現複數叢集的EUV能量40。在一方法中,在一微影曝光程序中使用複數叢集104。當在這些叢集104的一者中發生劑量誤差時,在此叢集的容限液滴被使用來補償EUV能量的不足。假使劑量誤差大於來自在此叢集中的容限液滴的EUV能量時,劑量誤差將無法完全地被補償。在第10圖中,”error”表示該叢集具有劑量誤差,”comp.”表示該叢集具有補償操作。
在另一方法中,在一微影曝光程序期間對複數叢集實施預補償。標靶材料液滴具有兩相鄰叢集。更精確地來說,一叢集稱為前叢集,正好在前叢集之後的另一叢集稱為後叢集。當在一後叢集中發生劑量誤差時,在前叢集中的容限液滴被使用來補償後叢集的劑量誤差相關的能量不足。二者則一地,在一前叢集中的容限液滴與在後叢集中的容限液滴一起用來補償能量的不足。為了維持適當的曝光劑量,一解補償將伴隨愈補償來使用。當一前叢集的多個容限液滴(或其子集)被使用來補償後叢集的能量不足時,後叢集可能不具有劑量誤差。在此情況下,後叢集可能是使用多個劑量液滴的一子集來偏移藉由預補償在前叢集中產生的過多能量,使得整體能量能平衡以符合曝光劑量。
藉由實施例預補償,容限液滴被運載至揭續的叢集。在一些實施例中,假使後叢集不具有劑量誤差,預補償與 解補償可能成對地被使用。在一些例子中,實施後補償來藉由在後叢集中的容限液滴補償前叢集的EUV能量不足。在另一些例子中,預補償或後補償可能被施加於並非為直接相鄰叢集的一叢集。
透過由左至右的多個叢集106來說明在微影曝光程序期間中的各種例子。第一叢集具有預補償(”Pre comp.”);第二叢集由於沒有劑量誤差而具有解補償;第三叢集具有預補償;第四叢集由於其劑量誤差由第三叢集的預補償來被補償而不實施預補償或解補償;第五叢集具有預補償;第六叢集由於其劑量誤差由第五叢集的預補償來被補償而不實施預補償或解補償;第七叢集具有劑量誤差,其沒有完全地由該叢集中的容限液滴來補償,但是由接續的叢集來後補償;第八叢集具有後補償;第九叢集具有預補償;第十叢集由於沒有劑量誤差而具有解補償。在第10圖中,在叢集下的方參數”1”、”0”、”-1”分別指示累積的劑量誤差”大於能量”、”在範圍內”、”低於能量”。方法76可能在步驟84的微影曝光程序中實施預補償、解補償、以及後補償的至少一子集。
預補償與其他操作將參閱第11圖來說明,而第11圖顯示方法76的步驟84的流程圖。步驟84包括一微影曝光程序。在此微影曝光程序期間,步驟84包括步驟108,於其中,對一先叢集來執行一預補償,以補償在後叢集中的EUV能量的不足。當在後叢集中不具有劑量誤差時,步驟84更包括步驟110,於其中,對後叢集執行解補償以平衡EUV能量。假使在後叢集中發生劑量誤差,則可跳過步驟110。當劑量誤差發生 在前叢集但其沒有由此前叢集中的容限液滴來完全補償時,步驟84更包括步驟112,於其中,對後叢集執行後補償,以補償來自該前叢集的EUV能量不足。在微影曝光程序期間,可根據這些叢集106的需求來多次預補償的步驟108、解補償的步驟110、以及後補償的步驟112。先叢集與後叢集是指在微影曝光程序期間於這些從集106中的兩相鄰叢集。預補償的步驟108、解補償的步驟110、以及後補償的步驟112一起稱為互相補償。在其他實施例中,此互相補償可對步驟82的其他位影程序的缺乏來實施。
根據本發明一些實施例來提供實施一微影曝光程序的方法76與微影系統10。在方法76中,劑量容限(Nm)根據曝光劑量與電漿狀態而逐晶圓來決定。在一些實施例中,互相補償步驟(例如預補償的步驟108、解補償的步驟110、以及後補償的步驟112)係實施來補償EUV能量的不足。本發明的一些實施例提供了優於現有技術的優點。雖然理解其他實施例可能提供不同的優點,但在此並非必須討論所有的優點,且沒有特定優點是所有實施例所要求的。藉由利用所接露的方法,劑量容限可動態地逐晶圓而被決定變為較大,其足以補償EUV能量的不足,但其夠少而不需過度犧牲BTE與生產率。由於劑量容限根據電漿狀態而調整,因此,微影系統的穩定度可最佳化。藉由實施互相補償,容限液滴可在相鄰的叢集之間共享,使得容限液滴的數量Nm可更加減少而不需犧牲整體的劑量容限。如此一來,微影曝光程序的生產率可進一步增加。
因此,本發明根據一些實施例來提供一種用於極 紫外線(extreme ultraviolet,EUV)微影程序的方法。此方法包括以下步驟:將晶圓裝載至EUV微影系統,其中,EUV微影系統具有EUV源;根據曝光劑量以及EUV源的電漿狀態來決定劑量容限;以及使用曝光劑量與劑量容限藉由來自EUV源的EUV光來對晶圓執行微影曝光程序。
本發明根據另一些實施例來提供一種用於極紫外線(extreme ultraviolet,EUV)微影程序的方法。此方法包括以下步驟:將晶圓裝載至EUV微影系統,其中,EUV微影系統具有EUV源;將EUV光遮罩裝載至EUV微影系統;以及對晶圓執行微影曝光程序。執行微影曝光程序的步驟包括執行互相補償操作。
本發明根據一些實施例來提供一種極紫外線(extreme ultraviolet,EUV)微影系統。此EUV微影系統包括EUV源、遮罩台、晶圓台、光學模組、以及電漿穩定性監控模組。EUV源產生EUV輻射。EUV源包括雷射以及標靶材料液滴產生器。遮罩台用來固定EUV遮罩。晶圓台用來固定半導體晶圓。光學模組引導來自EUV源的EUV輻射,以在微影曝光程序中使用劑量容限來將定義於EUV遮罩上的IC圖樣成像於半導體晶圓。電漿穩定性監控模組用來監控EUV源的電漿狀態。電漿狀態在實施於半導體晶圓的微影曝光程序中用來調整劑量容限。
本發明雖以較佳實施例揭露如上,然其並非用以限定本發明的範圍,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可做些許的更動與潤飾,因 此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
76‧‧‧方法
78、80、82、84、86、88‧‧‧步驟

Claims (10)

  1. 一種用於極紫外線(extreme ultraviolet,EUV)微影程序的方法,包括:將一晶圓裝載至一EUV微影系統,其中,該EUV微影系統具有一EUV源;根據一曝光劑量以及該EUV源的一電漿狀態來決定一劑量容限;以及使用該曝光劑量與該劑量容限來對該晶圓執行一微影曝光程序。
  2. 如申請專利範圍第1項所述之用於極紫外線微影程序的方法,其中,執行該微影曝光程序的步驟包括自複數叢集產生該EUV光;其中,該等叢集中的每一該叢集包括一組的複數標靶材料液滴,當該等標靶材料液滴能在被一雷射機發時產生電漿;其中,該組的該等標靶材料包括一第一數量Nd的複數劑量液滴以及一第二數量Nm的複數容限液滴;以及決定該劑量容限的步驟包括決定該第二數量Nm。
  3. 如申請專利範圍第2項所述之用於極紫外線微影程序的方法,其中,決定該劑量容限的步驟包括使用一劑量容限查找表來決定每一晶圓的該劑量容限,該劑量容限查找表與該曝光劑量、該劑量容限、與一叢集目標能量相關。
  4. 如申請專利範圍第3項所述之用於極紫外線微影程序的方法,其中,決定該劑量容限之步驟包括:使用來自該EUV微影系統的歷史製造資料來建立該劑量容 限查找表;以及監控該劑量容限以獲得一劑量容限變化,且根據該劑量容限變化來更新該劑量容限查找表。
  5. 如申請專利範圍第4項所述之用於極紫外線微影程序的方法,其中,監控該劑量容限的方法包括使用該EUV微影系統的一EUV能量監控器來監控一劑量誤差的變化。
  6. 如申請專利範圍第1項所述之用於極紫外線微影程序的方法,其中,執行該微影曝光程序的步驟包括對複數叢集中至少一者實施一互相補償操作。
  7. 如申請專利範圍第6項所述之用於極紫外線微影程序的方法,其中,該互相補償操作包括一預補償、一解補償、以及一後補償中至少一者。
  8. 如申請專利範圍第7項所述之用於極紫外線微影程序的方法,其中,實施該互相補償操作的步驟包括對一前叢集執行該補償。
  9. 如申請專利範圍第8項所述之用於極紫外線微影程序的方法,其中,實施該互相補償操作的步驟包括假使在一後叢集中不具有任何劑量誤差時對該後叢集執行該解補償。
  10. 如申請專利範圍第7項所述之用於極紫外線微影程序的方法,其中,實施該互相補償操作的步驟包括當在一前叢集中發生一劑量誤差且該劑量誤差並未由該前叢集的該劑量容限完全地補償時,對一後叢集執行該後補償。
TW104136450A 2015-03-16 2015-11-05 用於極紫外線微影程序的方法 TWI587099B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562133882P 2015-03-16 2015-03-16
US14/717,890 US9678431B2 (en) 2015-03-16 2015-05-20 EUV lithography system and method with optimized throughput and stability

Publications (2)

Publication Number Publication Date
TW201635040A true TW201635040A (zh) 2016-10-01
TWI587099B TWI587099B (zh) 2017-06-11

Family

ID=56852975

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104136450A TWI587099B (zh) 2015-03-16 2015-11-05 用於極紫外線微影程序的方法

Country Status (5)

Country Link
US (3) US9678431B2 (zh)
KR (1) KR101731720B1 (zh)
CN (1) CN105988306B (zh)
DE (1) DE102015109260B4 (zh)
TW (1) TWI587099B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9678431B2 (en) * 2015-03-16 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography system and method with optimized throughput and stability
JP6810741B2 (ja) * 2015-11-11 2021-01-06 エーエスエムエル ネザーランズ ビー.ブイ. 放射システムおよび光デバイス
US10495987B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system
US10165664B1 (en) 2017-11-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for decontaminating windows of an EUV source module
US10925142B2 (en) * 2018-07-31 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation source for lithography exposure process
US10802406B2 (en) * 2018-08-17 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
KR20210035427A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 극자외선 발생 장치
US20230259035A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Characterization of photosensitive materials
WO2024056330A1 (en) * 2022-09-12 2024-03-21 Asml Netherlands B.V. Mixed energy control in an euv lithography system

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6855943B2 (en) * 2002-05-28 2005-02-15 Northrop Grumman Corporation Droplet target delivery method for high pulse-rate laser-plasma extreme ultraviolet light source
DE10251435B3 (de) 2002-10-30 2004-05-27 Xtreme Technologies Gmbh Strahlungsquelle zur Erzeugung von extrem ultravioletter Strahlung
JP4878108B2 (ja) 2004-07-14 2012-02-15 キヤノン株式会社 露光装置、デバイス製造方法、および測定装置
US8513629B2 (en) * 2011-05-13 2013-08-20 Cymer, Llc Droplet generator with actuator induced nozzle cleaning
WO2011160235A1 (en) * 2010-06-22 2011-12-29 Karl Otto System and method for estimating and manipulating estimated radiation dose
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
NL2009020A (en) 2011-07-22 2013-01-24 Asml Netherlands Bv Radiation source, method of controlling a radiation source, lithographic apparatus, and method for manufacturing a device.
NL2009372A (en) * 2011-09-28 2013-04-02 Asml Netherlands Bv Methods to control euv exposure dose and euv lithographic methods and apparatus using such methods.
JP6125525B2 (ja) 2011-12-06 2017-05-10 エーエスエムエル ネザーランズ ビー.ブイ. 放射源
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
JP6077822B2 (ja) * 2012-02-10 2017-02-08 ギガフォトン株式会社 ターゲット供給装置、および、ターゲット供給方法
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
JP2013251100A (ja) * 2012-05-31 2013-12-12 Gigaphoton Inc 極紫外光生成装置及び極紫外光生成方法
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US9238243B2 (en) * 2012-09-28 2016-01-19 Asml Netherlands B.V. System and method to adaptively pre-compensate for target material push-out to optimize extreme ultraviolet light production
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US8872122B2 (en) 2013-01-10 2014-10-28 Asml Netherlands B.V. Method of timing laser beam pulses to regulate extreme ultraviolet light dosing
US8872123B2 (en) * 2013-01-10 2014-10-28 Asml Netherlands B.V. Method of timing laser beam pulses to regulate extreme ultraviolet light dosing
TWI618453B (zh) * 2013-01-10 2018-03-11 Asml荷蘭公司 用以調整雷射光束脈衝時序以調節極端紫外光劑量之方法及系統
JP6168797B2 (ja) * 2013-03-08 2017-07-26 ギガフォトン株式会社 極端紫外光生成装置
US9678431B2 (en) * 2015-03-16 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography system and method with optimized throughput and stability

Also Published As

Publication number Publication date
US20160274465A1 (en) 2016-09-22
DE102015109260B4 (de) 2018-06-28
US10156790B2 (en) 2018-12-18
CN105988306A (zh) 2016-10-05
US10520823B2 (en) 2019-12-31
CN105988306B (zh) 2018-04-10
TWI587099B (zh) 2017-06-11
KR101731720B1 (ko) 2017-04-28
KR20160111310A (ko) 2016-09-26
US20170277040A1 (en) 2017-09-28
US20190121241A1 (en) 2019-04-25
US9678431B2 (en) 2017-06-13
DE102015109260A1 (de) 2016-09-22

Similar Documents

Publication Publication Date Title
TWI587099B (zh) 用於極紫外線微影程序的方法
TWI569689B (zh) 極紫外光射線源模組、極紫外光微影系統以及極紫外光微影製程方法
US9869934B2 (en) Collector in an extreme ultraviolet lithography system with optimal air curtain protection
TWI420257B (zh) 微影裝置及元件製造方法
TWI791646B (zh) 極紫外線輻射源、極紫外線輻射源模組、極紫外線微影系統與極紫外線微影的方法
CN109581822B (zh) 极紫外光辐射的光源及其产生方法、极紫外光微影系统
US20110199600A1 (en) Collector assembly, radiation source, lithographic apparatus and device manufacturing method
TW202018434A (zh) 用於極紫外(euv)輻射源的資料匹配模組控制反饋系統的方法
US11448971B2 (en) Optical maskless
US10678148B2 (en) Lithography system and lithography method
US10802405B2 (en) Radiation source for lithography exposure process
US11852978B2 (en) EUV lithography system with 3D sensing and tunning modules
US20210033982A1 (en) Photography device having illuminator and method for adjusting intensity uniformity
WO2023001536A1 (en) Systems and methods for distributing light delivery