CN105793977B - 用于后段(beol)互连的利用多色光桶的自对准过孔图案化 - Google Patents

用于后段(beol)互连的利用多色光桶的自对准过孔图案化 Download PDF

Info

Publication number
CN105793977B
CN105793977B CN201480063066.3A CN201480063066A CN105793977B CN 105793977 B CN105793977 B CN 105793977B CN 201480063066 A CN201480063066 A CN 201480063066A CN 105793977 B CN105793977 B CN 105793977B
Authority
CN
China
Prior art keywords
grid
dielectric
color light
light bucket
wire
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480063066.3A
Other languages
English (en)
Other versions
CN105793977A (zh
Inventor
R·L·布里斯托尔
J·M·布莱克韦尔
A·M·迈尔斯
K·J·辛格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN105793977A publication Critical patent/CN105793977A/zh
Application granted granted Critical
Publication of CN105793977B publication Critical patent/CN105793977B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

描述了用于后段(BEOL)互连的利用多色光桶的自对准过孔图案化。在示例中,用于集成电路的互连结构包括设置在衬底上方的互连结构的第一层。互连结构的第二层设置在互连结构的第一层上方。第二格栅的电介质线与第一格栅的电介质线重叠并接触,但第二格栅的电介质线与第一格栅的电介质线不同。第一电介质区和第二电介质区设置在第一格栅的金属线与第二格栅的金属线之间并且与第一格栅的电介质线的上部和第二格栅的电介质线的下部处于同一平面。第一电介质区由第一交联的可光解材料组成,并且第二电介质区由不同的第二交联的可光解材料组成。

Description

用于后段(BEOL)互连的利用多色光桶的自对准过孔图案化
技术领域
本发明的实施例处于半导体结构和处理的领域,并且具体来说,处于用于后段(BEOL)互连的利用多色光桶的自对准过孔图案化的领域。
背景技术
在过去的几十年里,集成电路中的特征的缩放已经成为日益增长的半导体产业背后的驱动力。缩放至越来越小的特征实现了半导体芯片的有限基板面(real estate)上的功能单元的密度增大。例如,缩小的晶体管尺寸允许在芯片上含有更大数量的存储器或逻辑器件,从而为产品的制作提供增大的容量。然而,对不断增大的容量的驱动并不是没有问题的。对每个器件的性能进行优化的必要性变得越来越重要。
集成电路通常包括导电的微电子结构(在本领域中已知为过孔),以将过孔上方的金属线或其它互连电连接到过孔下方的金属线或其它互连。通常通过光刻工艺形成过孔。代表性地,光致抗蚀剂层可以旋涂在电介质层之上,可以通过图案化掩模使光致抗蚀剂层在图案化的光化辐射下曝光,并且随后,可以对曝光的层进行显影以在光致抗蚀剂层中形成开口。接下来,可以通过使用光致抗蚀剂层中的开口作为蚀刻掩模来在电介质层中蚀刻用于过孔的开口。该开口被称为过孔开口。最后,可以用一种或多种金属或其它导电材料来填充过孔开口以形成过孔。
过去,过孔的大小和间隔已经逐步减小,并且预期在将来,对于至少一些类型的集成电路(例如,高级微处理器、芯片组部件、图形芯片等),过孔的大小和间隔将持续逐步减小。过孔大小的一个量度是过孔开口的临界尺寸。过孔间隔的一个量度是过孔间距。过孔间距表示最接近的相邻过孔之间的中心到中心的距离。
当通过这种光刻工艺来将具有极小间距的极小过孔图案化时,它们本身呈现了若干挑战,尤其是在间距约为70纳米(nm)或更小时和/或在过孔开口的临界尺寸约为35nm或更小时。一个这种挑战是过孔与上层互连之间的重叠、以及过孔与下层的平台互连(landing interconnect)之间的重叠通常需要被控制到近似为过孔间距的四分之一的高容差。随着过孔间距随着时间的推移而不断缩小,重叠容差趋向于随之以比光刻装备能够保持的速率更大的速率进行缩放。
另一个这种挑战是过孔开口的临界尺寸通常趋向于比光刻扫描仪的分辨能力更快地进行缩放。存在用于缩小过孔开口的临界尺寸的缩小技术。然而,缩小的量趋向于受到最小过孔间距的限制,并且受到缩小工艺充分地达到光学邻近校正(OPC)中性线、并且不会显著损害线宽粗糙度(LWR)和/或临界尺寸一致性(CDU)的能力的限制。
又一个这种挑战是光致抗蚀剂的LWR和/或CDU特性通常需要随着过孔开口的临近尺寸的减小而提高,以保持临界尺寸预算的相同的整体分数。然而,当前,大部分光致抗蚀剂的LWR和/或CDU特性并不像过孔开口的临界尺寸减小那样迅速地提高。
再一个这种挑战是极小的过孔间距通常趋向于甚至低于极紫外线(EUV)光刻扫描仪的分辨能力。结果,通常可以使用两个、三个、或者更多不同的光刻掩模,而这趋向于增加成本。在某些时候,如果间距持续减小,那么即使利用多个掩模也不可能使用EUV扫描仪来印刷用于这些极小间距的过孔开口。
因此,过孔制造技术领域需要改进。
附图说明
图1A-1H示出了根据本发明的实施例的表示使用多色光桶的减数法自对准过孔图案化的方法中的各操作的集成电路层的部分,其中:
图1A示出了形成在层间电介质(ILD)层上的硬掩模材料层的在沉积之后但在图案化之前的初始结构的截面视图;
图1B示出了在第一硬掩模层的第一次图案化以及随后的第一色彩光桶填充之后的图1A的结构;
图1C示出了在第一硬掩模层的第一次图案化以及随后的第二色彩光桶填充之后的图1B的结构;
图1D示出了在平面化以将第一色彩光桶与第二色彩光桶互相隔离之后的图1C的结构;
图1E示出了在对第一色彩光桶进行曝光和显影以留下所选择的过孔位置之后的图1D的结构;
图1F示出了在对第二色彩光桶进行曝光和显影以留下附加的所选择的过孔位置之后的图1E的结构;
图1G示出了在去除第三硬掩模层并且蚀刻以形成过孔位置之后的图1F的结构;以及
图1H示出了在金属填充之前的图1G的结构。
图2示出了根据本发明的实施例的用于一种光桶类型的示例性双色调抗蚀剂(dual tone resist)和用于另一种光桶类型的示例性单色调抗蚀剂。
图3A-3I示出了根据本发明的另一个实施例的表示使用多色光桶的减数法自对准过孔图案化的方法中的各操作的集成电路层的部分,其中:
图3A示出了在深金属线制作之后的用于减数法过孔工艺的初始点结构;
图3B示出了在金属线的凹陷之后的图3A的结构;
图3C示出了在形成层间电介质(ILD)层之后的图3B的结构;
图3D示出了在对硬掩模层进行沉积和图案化之后的图3C的结构;
图3E示出了在使用图3D的硬掩模的图案所限定的沟槽形成之后的图3D的结构;
图3F示出了在所有可能的过孔位置中形成多色光桶之后的图3E的结构;
图3G示出了在过孔位置选择之后的图3F的结构;
图3H示出了在将剩下的光桶转换为永久性的ILD材料之后的图3G的结构;以及
图3I示出了在金属线和过孔形成之后的图3H的结构。
图4示出了根据本发明的一个实施方式的计算设备。
具体实施方式
描述了用于后段(BEOL)互连的利用多色光桶的自对准过孔图案化。在以下描述中,阐述了诸如具体集成和材料机制等大量具体细节,以便于提供对本发明的实施例的透彻理解。对本领域技术人员来说将显而易见的是,可以在没有这些具体细节的情况下实施本发明的实施例。在其它实例中,并没有详细描述诸如集成电路设计布局等公知的特征以免不必要地使本发明的实施例难以理解。此外,要理解的是,附图中所示的各个实施例是说明性的表示并且不必按比例绘制。
本文中所描述的一个或多个实施例针对将多色光桶用作用于在光刻间距极限以下解决插塞和过孔制作的方法。本文中所描述的一个或多个实施例针对用于自对准过孔和插塞图案化的减数法方法以及由此产生的结构。在实施例中,本文中所描述的工艺使得能够实现用于后段特征制作的自对准金属化。可以通过本文中所描述的一种或多种方法来解决下一代过孔和插塞图案化所预期的重叠问题。
在示例性实施例中,以下所描述的方法建立在使用所谓的“光桶”的方法上,其中,每个可能的特征(例如,过孔)被重新图案化到衬底中。随后,将光致抗蚀剂填充到经图案化的特征中,并且光刻操作仅用于为过孔开口形成挑选所选择的过孔。在以下所描述的特定实施例中,光刻操作用于在多个“多色光桶”上方限定相对大的孔,继而可以通过特定波长的整片曝光(flood exposure)来打开所述“多色光桶”。多色光桶方法在保留挑选感兴趣的过孔的能力的同时允许较大的临界尺寸(CD)和/或重叠误差。在一个这种实施例中,沟槽用于包含抗蚀剂本身,并且整片曝光的多个波长用于选择性地打开感兴趣的过孔。
为了提供背景,用于过孔的当前制作技术包含“盲”工艺,其中,在远高于ILD沟槽的叠置体中将过孔开口图案化。随后将过孔开口图案向下深蚀刻到沟槽中。重叠误差累积并且能够导致各种问题,例如,到相邻金属线的短路。在示例中,在小于大约50纳米间距处的特征的图案化和对准需要许多刻线和临界对准策略,这些刻线和临界对准策略在其它情况下对于半导体制造工艺而言是极其昂贵的。在实施例中,相比之下,本文中所描述的方法实现了自对准插塞和/或过孔的制作,极大地简化了重叠误差网,并且只留下一个临界重叠步骤(Mx+1格栅)。继而在实施例中,由于常规的光刻/双重镶嵌图案化而造成的偏移(在其它情况下必须被容忍)并不是本文中所描述的所产生的结构的因素。
一般而言,一个或多个实施例针对采用减数技术来最终形成金属之间的导电过孔和可能的非导电空间或中断(被称为“插塞”)的方法。通过限定,过孔用于着陆在前一层金属图案上。在这方面,本文中所描述的实施例实现了更鲁棒的互连制作方案,因为不再依赖于通过光刻装备的对准。这种互连制作方案可以用于节约许多对准/曝光,可以用于改进电接触(例如,通过减小过孔电阻),并且可以用于减少总的工艺操作和用于使用常规方法使这些特征图案化而另外所需的处理时间。
更具体来说,本文中所描述的一个或多个实施例包含使用减数方法来使用已蚀刻的沟槽来预先形成每个过孔或过孔开口。随后使用附加的操作来选择保持过孔和插塞中的哪些过孔和插塞。可以使用“光桶”来例示这种操作,尽管也可以使用更常规的抗蚀剂曝光和ILD回填方法来执行选择工艺。
在第一方面,使用了自对准过孔开口方法。作为示例,图1A-1H示出了根据本发明的实施例的表示使用多色光桶的减数法自对准过孔图案化的方法中的各操作的集成电路层的部分。在每个所描述的操作处的每个图示中,示出了截面视图。
图1A示出了根据本发明的实施例的形成在层间电介质(ILD)层102上的第一硬掩模材料层104的在沉积之后但在图案化之前的初始结构100的截面视图。参考图1A,经图案化的掩模106具有沿着其侧壁、在第一硬掩模材料层104上或上方形成的间隔体108。
图1B示出了根据本发明的实施例的在第一硬掩模层的第一次图案化以及随后的第一色彩光桶填充之后的图1A的结构。参考图1B,经图案化的掩模106和相对应的间隔体108在蚀刻期间一起用作掩模来形成穿过第一掩模材料层104并且部分进入ILD层102的沟槽110。随后利用第一色彩光桶112来填充沟槽110。
图1C示出了根据本发明的实施例的在第一硬掩模层的第二次图案化以及随后的第二色彩光桶填充之后的图1B的结构。参考图1C,去除经图案化的掩模106并且在间隔体108之间蚀刻穿过第一硬掩模材料层104并且部分进入ILD层102的第二多个沟槽114。随后,利用第二色彩光桶材料层116来填充沟槽114。
再次参考图1C,因而例如通过形成沟槽110和114的两个蚀刻工艺将间隔体108的负片图案转移到第一硬掩模层104。如图1C中所描绘的,在一个这种实施例中,间隔体108并且因此沟槽110和114形成有格栅图案。在实施例中,格栅图案是紧密间距的格栅图案。在具体的这种实施例中,不能够直接通过常规的光刻来获得紧密的间距。如图1A-1C中所描绘的,例如,基于常规光刻的图案可能首先受限于掩模106,但是可以通过使用负片间隔体掩模图案化来将间距减半。更进一步地,尽管未示出,但是可以通过第二轮的间隔体掩模图案化将最初的节距降至四分之一。因此,光桶112和116的格栅状图案总体上隔开恒定的间距并且具有恒定的宽度。
图1D示出了根据本发明的实施例的在平面化以将第一色彩光桶与第二色彩光桶互相隔离之后的图1C的结构。参考图1D,例如通过化学机械抛光(CMP)将第二色彩光桶材料层116和间隔体108的顶部部分平面化,直到使第一色彩光桶112的顶表面曝光,由光桶材料层116形成了分立的第二色彩光桶118。在一个实施例中,第一色彩光桶112和第二色彩光桶118的组合表示在随后形成的金属化结构中的所有可能的过孔位置。
图1E示出了根据本发明的实施例的在对第一色彩光桶进行曝光和显影以留下所选择的过孔位置之后的图1D的结构。参考图1E,在图1D的结构上形成了第二硬掩模120并且将第二硬掩模120图案化。经图案化的第二硬掩模120显露了所选择的第一色彩光桶112A。所选择的光桶112A在光辐射下曝光并且被去除(即,显影)以提供所选择的过孔开口113A。要理解的是,在实施例中,本文中关于形成硬掩模层并且将硬掩模层图案化的描述包含之后在均厚硬掩模上方形成掩模。掩模的形成可以包含对适用于光刻处理的一个或多个层的使用。在对一个或多个光刻层进行图案化时,通过蚀刻工艺将图案转移到硬掩模层以提供经图案化的硬掩模层。
再次参考图1E,不可能在将第二硬掩模层120图案化时仅显露所选择的光桶112A。例如,还可以显露一个或多个邻近(或附近)的第二色彩光桶118。这些另外显露的光桶可能不是用于最终过孔形成的可取位置。然而,在实施例中,当在用于将第一色彩光桶112的组图案化的辐射下被曝光时,不对任何所显露的第二色彩光桶118进行修改。如图1E中所示,例如,在一个实施例中,第一色彩光桶112易受到红色整片曝光121的影响并且可以对第一色彩光桶112进行显影以去除第一色彩光桶112的选择的光桶。如图1E中所示,在该实施例中,第二色彩光桶118不易受到红色整片曝光的影响,并且因而,即使在红色整片曝光期间显露了第二色彩光桶118,也不能显影和去除第二色彩光桶118。在实施例中,通过具有不同辐射易感性的毗邻的光桶,可以容纳较大的图案和/或偏移容差以在其它情况下放松与将第二硬掩模层120图案化相关联的限制。
图1F示出了根据本发明的实施例的在对第二色彩光桶进行曝光和显影以留下附加的所选择的过孔位置之后的图1E的结构。参考图1F,在图1E的结构上形成了第三硬掩模122并且将第三硬掩模122图案化。如图1F中所描绘的,第三硬掩模122还可以填充所选择的过孔开口113A。经图案化的第三硬掩模122显露了所选择的第二色彩光桶118A和118B。所选择的光桶118A和118B在光辐射下被曝光并且被去除(即,显影)以分别提供所选择的过孔开口119A和119B。
再次参考图1F,不可能在将第三硬掩模层122图案化时仅显露所选择的光桶118A和118B。例如,还可以显露一个或多个邻近(或附近)的第一色彩光桶112。这些另外显露的光桶可能不是用于最终过孔形成的可取位置。然而,在实施例中,当在用于将第二色彩光桶118的组图案化的辐射下被曝光时,不对任何所显露的第一色彩光桶112进行修改。如图1F中所示,例如,在一个实施例中,第二色彩光桶118易受到绿色整片曝光123的影响并且可以对第二色彩光桶118进行显影以去除第二色彩光桶118的选择的光桶。如图1F中所示,在该实施例中,第一色彩光桶112不易受到绿色整片曝光的影响,并且因而,即使在绿色整片曝光期间显露了第一色彩光桶112,也不能显影和去除第一色彩光桶112。在实施例中,通过具有不同辐射易感性的毗连的光桶,可以容纳较大的图案和/或偏移容差以在其它情况下放松与将第三硬掩模层122图案化相关联的限制。
图1G示出了根据本发明的实施例的在去除第三硬掩模层并且蚀刻以形成过孔位置之后的图1F的结构。参考图1G,去除第三硬掩模层122。在一个这种实施例中,第三硬掩模层122是基于碳的硬掩模层并且通过灰化工艺去除第三硬掩模层122。随后,过孔开口119A、113A和119B的图案经受选择性蚀刻工艺,例如选择性等离子体蚀刻工艺,以将过孔开口更深地延伸到下层ILD层102中,形成具有过孔位置124的过孔经图案化的ILD层102’。蚀刻对于剩下的光桶112和118并且对于间隔体108是选择性的。
图1H示出了根据本发明的实施例的在金属填充之前的图1G的结构。参考图1H,去除所有剩下的第一色彩光桶112和第二色彩光桶118。可以直接去除剩下的第一色彩光桶112和第二色彩光桶118,或可以首先使剩下的第一色彩光桶112和第二色彩光桶118曝光并显影以使其能够被去除。去除剩下的第一色彩光桶112和第二色彩光桶118提供了金属线沟槽126,金属线沟槽126中的一些金属线沟槽耦合到经图案化的ILD层102’中的过孔位置124。随后的工艺可以包括去除间隔体108和硬掩模层104、以及对金属线沟槽126和过孔位置124进行金属填充。在一个这种实施例中,通过金属填充和深抛光(polish back)工艺形成金属化。
在金属填充时,图1H的结构随后可以被用作为用于形成随后的金属线/过孔和ILD层的基础。替代地,在金属填充时,图1H的结构可以表示集成电路中的最终的金属互连层。要理解的是,可以以替代的顺序实践以上工艺操作,不需要执行每一个操作和/或可以执行附加的工艺操作。再次参考图1H,可以在这个阶段完成通过减数法方法的自对准制作。以相同的方式制作的下一层可能需要再次开始整个工艺。替代地,在这个阶段可以使用其它方法来提供附加的互连层,例如常规的双重或单重镶嵌方法。
再次参考图1A-1H,多个选项可以被认为对于提供第一色彩光桶112和第二色彩光桶118是可行的。例如,在实施例中,使用了两个不同的正片色调有机光致抗蚀剂。要理解的是,在一个这种实施例中,可以为第一色彩光桶112和第二色彩光桶118选择具有不同化学结构的材料以允许使用不同的涂层、光活化和显影工艺。作为示例性实施例,为第一色彩光桶112选择常规的193nm光刻聚甲基丙烯酸酯抗蚀剂系统,而为第二色彩光桶118选择常规的248nm聚羟基苯乙烯光致抗蚀剂系统。这两种类型的树脂之间的显著化学差异允许使用两种不同的有机铸造溶剂;这可能是必要的,因为利用已经存在的第一色彩光桶112的材料来涂覆第二色彩光桶118的材料。用于第一色彩光桶112的铸造溶剂是不受限的,而对于第二色彩光桶118,可以使用含酒精的溶剂,因为它们仍可以使PHS材料溶解而不会使两端的聚甲基丙烯酸酯溶解。
在实施例中,作为第一色彩光桶112的材料的聚甲基丙烯酸酯树脂与作为第二色彩光桶118的材料的聚羟基苯乙烯树脂的组合可以使得能够使用两种不同的曝光波长。典型的193nm光刻聚合物基于具有193nm的吸收性光致产酸剂(PAG)的聚甲基丙烯酸酯,因为聚合物在该波长下不会进行强烈吸收。另一方面,聚羟基苯乙烯可能不适合,因为它们强烈吸收193nm并且阻止整个膜的PAG的活化。继而在一个实施例中,可以在存在193nm光子的情况下对第一色彩光桶112进行选择性地活化和显影。为了强调第一色彩光桶112与第二色彩光桶118之间的感光速度(photospeed)的差异,可以针对每个光桶调整诸如在193nm下的PAG吸收度、PAG加载和光酸强度等因素。另外,强的193nm吸收体可以被添加到第二色彩光桶118(或选择性地沉积在第二色彩光桶118的顶部)以减少膜的体块内PAG活化。在特定实施例中,在曝光之后,利用标准的TMAH显影剂来选择性地实行对第一色彩光桶112的显影,其中,将发生对第二色彩光桶118的最小显影。
在实施例中,为了在存在第一色彩光桶112的情况下选择性地去除第二色彩光桶118,使用了第二较低能量波长,第二较低能量波长仅在第二色彩光桶118中而不在第一色彩光桶112中使PAG活化。这可以以两种方式来实现。首先,在一个实施例中,使用具有不同吸收度特性的PAG。例如,三烷基锍盐在诸如248nm等波长处具有非常低的吸收度,而三芳基锍具有非常高的吸收度。因此,通过在第二色彩光桶118中使用三芳基锍或其它248nm的吸收性PAG而在第一色彩光桶112中使用三烷基锍或其它非248nm的吸收性PAG来实现选择性。替代地,由于没有感光剂存在,所以可以将感光剂并入到第二色彩光桶118中,在第一色彩光桶112中不发生活化的情况下,第二色彩光桶118吸收低能量光子,所述低能量光子在第二色彩光桶118中选择性地将能量转移到PAG。
在另一个实施例中,图2示出了根据本发明的一个实施例的用于一种光桶类型的示例性双色调抗蚀剂和用于另一种光桶类型的示例性单色调抗蚀剂。参考图2,在一个实施例中,双色调光致抗蚀剂系统(PB-1)用于第一色彩光桶112的材料。单色调(缓慢)光致抗蚀剂系统(PB-2)用于第二色彩光桶118的材料。双色调光致抗蚀剂的特征可以为具有光响应,由于包括在系统中的光致产碱剂的活化,所述光响应在较高剂量下被有效地关闭。光产生的碱剂与光酸中和并且阻止聚合物去保护基。在实施例中,在第一色彩光桶112曝光期间,选择了剂量以使得双色调抗蚀剂(PB-1)作为快速正片色调系统进行操作,而单色调抗蚀剂(PB-2)没有接收到用于要被活化的溶解度开关(solubility switch)的足够的光子。这允许在不去除PB-2的情况下利用TMAH显影剂来去除PB-1。为了在不去除PB-1的情况下选择性地去除PB-2,将较高的剂量用于第二曝光(即,第二色彩光桶118的曝光)。所选择的剂量既需要使PB-2中的足够的PAG活化以允许TMAH中的溶解,还需要通过PBG的活化将PB-2移动到负片色调响应机制中。在该方案中,相同的PAG可以用于PB-1和PB-2,并且相同的曝光波长可以用于曝光1和2。要理解的是,PB-1可能需要并入光致产碱剂(PBG);然而,可能会需要不同类型的聚合物以允许一旦对PB-1进行涂覆就对PB-2涂覆。如上所述,对PB-1使用聚甲基丙烯酸甲酯型抗蚀剂并且对PB-2使用PHS-型可以满足该要求。
要理解的是,根据本发明的实施例,可以分别转变用于第一色彩光桶112和第二色彩光桶118的以上所指定的材料。同样,上述多色光桶方法可以被称为1-D。可以使用交叉的格栅将类似的方法应用于2-D系统,尽管光桶材料将不得不经受来自上述交叉的格栅的蚀刻和清洁。结果将是具有在垂直方向上的比上述方法中的过孔/插塞更小的过孔/插塞的棋盘型图案。另外,要理解的是,结合图1A-1H所描述的方法不一定被执行为形成与下层的金属化层对准的过孔。如此,在一些背景下,这些工艺方案可以被视为包含相对于任何下层的金属化层的自上而下的方向上的盲投射(blind shooting)。在第二方面,减数法方法提供了与下层的金属化层的对准。作为示例,图3A-3I示出了根据本发明的另一个实施例的表示使用多色光桶的减数法自对准过孔图案化的方法中的各操作的集成电路层的部分。在每个所描述的操作的每个图示中,提供了倾斜的三维截面视图。
图3A示出了根据本发明的实施例的在深金属线制作之后的用于减数法过孔工艺的初始点结构300。参考图3A,结构300包括具有介于中间的层间电介质(ILD)线304的金属线302。要理解的是,线302中的一些线可能与用于耦合到前一互连层的下层过孔相关联。在实施例中,通过将沟槽图案化到ILD材料(例如,线304的ILD材料)中来形成金属线302。随后用金属填充沟槽,并且如果需要的话,将该沟槽平面化到ILD线304的顶部。在实施例中,金属沟槽和填充工艺包含高深宽比特征。例如,在一个实施例中,金属线的高度(h)与金属线的宽度(w)的深宽比大约在5-10的范围内。
图3B示出了根据本发明的实施例的在使金属线凹陷之后的图3A的结构。参考图3B,选择性地使金属线302凹陷以提供第一级金属线306。相对于ILD线304选择性地执行凹陷。可以通过经由干法蚀刻、湿法蚀刻、或者它们的组合的蚀刻来执行凹陷。可以由第一级金属线306的目标厚度来确定凹陷程度,该第一级金属线306用作后段(BEOL)互连结构内的适合的导电互连线。
图3C示出了根据本发明的实施例的在形成层间电介质(ILD)层之后的图3B的结构。参考图3C,对ILD材料层308进行沉积,并且如果需要的话,将ILD材料层308平面化到高于凹陷的金属线306和ILD线304的水平。
图3D示出了根据本发明的实施例的在对硬掩模层进行沉积和图案化之后的图3C的结构。参考图3D,硬掩模层310形成在ILD层308上。在一个这种实施例中,如图3D中所描绘的,硬掩模层310形成有与第一级金属线306/ILD线304的格栅图案正交的格栅图案。在实施例中,由硬掩模层310形成的格栅结构是紧密间距的格栅结构。在一个这种实施例中,不能直接通过常规光刻来获得紧密间距。例如,可以首先形成基于常规光刻的图案,但可以通过使用间隔体掩模图案化来将间距减半。更进一步地,可以通过第二轮的间隔体掩模图案化来使最初的间距降至四分之一。因此,图3D的第二硬掩模层310的格栅状图案可以具有以恒定间距间隔开并具有恒定宽度的硬掩模线。
图3E示出了根据本发明的实施例的在使用图3D的硬掩模的图案所限定的沟槽形成之后的图3D的结构。参考图3E,对ILD层308的曝光区域(即,未受310保护)进行蚀刻以形成沟槽312和经图案化的ILD层314。蚀刻停止于(并且因此曝光)第一级金属线306和ILD线304的顶表面。
图3F示出了根据本发明的实施例的在所有可能的过孔位置中形成光桶之后的图3E的结构。参考图3F,光桶316形成在凹陷的金属线306的曝光的部分上方的所有可能的过孔位置中。在一个实施例中,如图3F中所描绘的,光桶316实质上被形成为与ILD线304的顶表面共面。另外,再次参考图3F,可以从经图案化的ILD层314去除硬掩模层310。
再次参考图3F,在实施例中,可能要求两种不同色彩的光桶来形成光桶316。如上所述,例如,在一个实施例中,光桶316A和316C由第一光桶材料组成,而光桶316B和316D由不同的第二光桶材料组成。在该阶段对两种不同光桶的使用可能会减轻对用于使选择的光桶曝光的后续光刻的约束。
图3G示出了根据本发明的实施例的在过孔位置选择之后的图3F的结构。参考图3G,去除选择的过孔位置318中的来自图3F的光桶316。在不被选择为形成过孔的位置中,保留了光桶316。在一个实施例中,为了形成过孔位置318,使用光刻来使对应的光桶316曝光。随后可以通过显影剂来去除已曝光的光桶。
再次参考与图3F有关的图3G,过孔选择可以包含去除第一光桶类型中的一个或多个光桶并且去除第二光桶类型中的一个或多个光桶。尽管在图3G中,去除了相同类型的两个光桶316A和316C,但未必一定是这种情况。如此,在实施例中,保留第一色彩类型的至少一些光桶以及不同的第二色彩类型的至少一些光桶。
图3H示出了根据本发明的实施例的在将剩下的光桶转换为永久性的ILD材料之后的图3G的结构。参考图3H,例如通过在烘烤操作时进行交联来修改所述位置中的光桶316的材料以形成最终的ILD材料320。在一个这种实施例中,交联在烘烤时提供了溶解度开关。最终的经交联的材料具有电介质间的属性,并且因此可以被保留在最终的金属化结构中。在实施例中,保留两种不同类型的光桶(例如,第一色彩的光桶和第二色彩的光桶),并且因此将两种不同类型的光桶分别转变为可以保留在最终的金属化结构中的两种不同的材料。
再次参考图3H,在实施例中,所产生的结构在金属化结构的单个平面350中包括多达三个不同的电介质材料区(ILD线304+ILD线314+经交联的光桶320)。在一个这种实施例中,ILD线304、ILD线314、以及经交联的光桶320中的两个或全部由相同的材料组成。在另一个这种实施例中,ILD线304、ILD线314、以及经交联的光桶320都由不同的ILD材料组成。在任一种情况下,在具体实施例中,可以在最终结构中观察到区别,例如ILD线304的材料与ILD线314的材料之间的垂直接缝(例如,接缝397)和/或ILD线304与经交联的光桶320之间的垂直接缝(例如,接缝398)和/或ILD线314与经交联的光桶320之间的垂直接缝(例如,接缝399)。此外,在实施例中,经交联的光桶320可以表示保留在最终结构中的两种不同类型的经交联的光桶的其中之一。
图3I示出了根据本发明的实施例的在金属线和过孔形成之后的图3H的结构。参考图3I,在对图3H的开口进行金属填充时形成金属线322和过孔324。通过过孔324将金属线322耦合到下层金属线306。在实施例中,采用镶嵌方法或自下而上的填充方法来填充开口,以提供图3I中所示的结构。因此,用于采用以上方法形成金属线和过孔的金属(例如,铜和相关联的阻挡层和晶种层)沉积通常可以用于标准的后段(BEOL)处理。在实施例中,在随后的制作操作中,可以去除ILD线314以在所产生的金属线324之间提供气隙。
图3I的结构随后可以被用作为用于形成随后的金属线/过孔和ILD层的基础。替代地,图3I的结构可以表示集成电路中的最终的金属互连层。要理解的是,可以以替代的顺序来实践以上工艺操作,并不需要执行每一个操作和/或可以执行附加的工艺操作。在任何情况下,所产生的结构实现了直接以下层金属线为中心的过孔的制作。即,例如由于不完美的选择性蚀刻处理,过孔可以比下层金属线宽、比下层金属线窄、或者与下层金属线一样厚。然而,在实施例中,过孔的中心直接与金属线的中心对准(匹配)。此外,ILD用于选择哪些插塞和过孔将可能与最初的ILD极为不同并且将在两个方向上完美地自对准。如此,在实施例中,由于常规的光刻/双重镶嵌图案化而造成的偏移(在其它情况下必须被容忍)并不是针对本文中所描述的产生的结构的因素。再次参考图3I,随后,可以在这个阶段完成通过减数法方法的自对准制作。以类似的方式制作的下一层可能需要再一次重新开始整个过程。替代地,可以在该阶段使用诸如常规的双重镶嵌或单重镶嵌方法等其它方法来提供附加的互连层。
总的说来,根据本发明的一个或多个实施例,本文中所描述的方法包含使用光桶层间电介质(ILD)来为插塞和过孔选择位置。光桶ILD组分通常与标准ILD极为不同,并且在一个实施例中是在两个方向上完美对准的。更一般而言,在实施例中,本文中所使用的术语“光桶”包含对超快光致抗蚀剂和电子束抗蚀剂或形成在经蚀刻的开口中的其它光敏材料的使用。在一个这种实施例中,在旋涂应用之后使用聚合物到开口中的热回流。在一个实施例中,通过从现有的光致抗蚀剂材料中去除猝灭剂来制作快速光致抗蚀剂。在另一个实施例中,通过深蚀刻工艺和/或光刻/缩小/蚀刻工艺来形成光桶。要理解的是,不需要用真正的光致抗蚀剂来填充光桶,只要该材料充当光敏开关。在一个实施例中,使用光刻来使被选择为要去除的对应的光桶曝光。然而,由于光桶被不可光解的材料包围,所以可以放松光刻约束并且失准容差可以是高的。此外,在实施例中,代替在例如30mJ/cm2下曝光,这种光桶可以在例如3mJ/cm2下曝光。通常这将导致非常差的临界尺寸(CD)控制和粗糙度。但在这种情况下,将由可以被良好地控制和限定的光桶来限定CD和粗糙度控制。因此,光桶方法可以用于规避限制下一代光刻工艺的吞吐量的成像/剂量权衡。在一个实施例中,光桶经受极紫外线(EUV)光的曝光以便于使光桶曝光,其中在特定实施例中,EUV曝光处于5-15纳米的范围内。以上关于图1A-1H和图3A-3I的细节主要聚焦于将多色光桶用于过孔图案化。然而,要理解的是,多色光桶还可以用于插塞图案化。
在实施例中,用于金属线、ILD线或硬掩模线的术语“格栅结构”用于指代紧密间距的格栅结构。在一个这种实施例中,不能够直接通过常规的光刻来获得紧密间距。例如,如本领域中已知的,可以首先形成基于常规光刻的图案,但可以通过使用间隔体掩模图案化来将间距减半。更进一步地,可以通过第二轮的间隔体掩模图案化来将最初的间距降至四分之一。因此,上述格栅状图案可以具有以恒定间距间隔开并具有恒定宽度的金属线、ILD线或硬掩模线。可以通过间距减半或使间距降至四分之一的方法来制作图案。
在实施例中,如贯穿本说明书所使用的,层间电介质(ILD)材料由电介质层或绝缘材料层组成或者包括电介质层或绝缘材料层。适合的电介质材料的示例包括但不限于:硅的氧化物(例如,二氧化硅(SiO2))、硅的掺杂氧化物、硅的氟氧化物、硅的碳掺杂氧化物、本领域中已知的各种低k电介质材料、以及它们的组合。可以通过常规技术(例如化学气相沉积(CVD)、物理气相沉积(PVD))、或者通过其它沉积方法来形成层间电介质材料。
在实施例中,也如贯穿本说明书所使用的,互连材料(例如金属线和/或过孔)由一种或多种金属或其它导电结构组成。常见的示例是使用铜线和铜结构,所述铜线和铜结构可以包括或者可以不包括铜与周围的ILD材料之间的阻挡层。如本文中所使用的,术语金属包括合金、叠置体、以及多种金属的其它组合。例如,金属互连线可以包括阻挡层、不同金属或合金的叠置体等。在本领域中,互连线有时也被称为迹线、导线、线、金属、或者简单地被称为互连。
在实施例中,也如贯穿本说明书所使用的,插塞和/或帽状物和/或硬掩模材料由与层间电介质材料不同的电介质材料组成。在一个实施例中,这些材料是牺牲性的,而在最终结构中至少某种程度上保存了层间电介质材料。在一些实施例中,插塞和/或帽状物和/或硬掩模材料包括硅的氮化物(例如,氮化硅)层或者硅的氧化物层、或者它们两者、或者它们的组合。其它适合的材料可以包括基于碳的材料。在另一个实施例中,插塞和/或帽状物和/或硬掩模材料包括金属类。例如,硬掩模或其它上覆材料可以包括钛或另一种金属的氮化物(例如,氮化钛)层。可能较少量的其它材料(例如氧)可以包括在这些层中的一个或多个层中。替代地,可以根据特定的实施方式来使用本领域中已知的其它插塞和/或帽状物和/或硬掩模材料层。可以通过CVD、PVD、或者通过其它沉积方法来形成插塞和/或帽状物和/或硬掩模材料层。
要理解的是,上述层和材料通常形成于下层半导体衬底或结构(例如,集成电路的(多个)下层器件层)上或者上方。在实施例中,下层半导体衬底表示用于制造集成电路的通用工件对象。半导体衬底通常包括晶片或者其它硅片或另一种半导体材料片。适合的半导体衬底包括但不限于:单晶硅、多晶硅、和绝缘体上硅(SOI)、以及由其它半导体材料形成的类似衬底。根据制造阶段,半导体衬底通常包括晶体管、集成电路等。衬底还可以包括半导体材料、金属、电介质、掺杂剂、以及通常在半导体衬底中发现的其它材料。此外,可以在下层的较低级的后段(BEOL)互连层上制作以上所描绘的结构。
本文中所公开的实施例可以用于制造各种各样的不同类型的集成电路和/或微电子器件。这种集成电路的示例包括但不限于:处理器、芯片组部件、图形处理器、数字信号处理器、微控制器等。在其它实施例中,可以制造半导体存储器。此外,可以在本领域中公知的各种各样的电子设备中使用集成电路或其它微电子器件。例如,在计算机系统(例如,台式计算机、膝上型计算机、服务器)中、蜂窝式电话中、个人电子设备中等。集成电路可以与系统中的总线和其它部件耦合。例如,处理器可以通过一条或多条总线耦合到存储器、芯片组等。有可能可以使用本文中所公开的方法来制造处理器、存储器、以及芯片组中的每一个。
图4示出了根据本发明的一个实施方式的计算设备400。计算设备400容纳板402。板402可以包括若干部件,包括但不限于:处理器404和至少一个通信芯片406。处理器404物理和电耦合到板402。在一些实施方式中,至少一个通信芯片406也物理和电耦合到板402。在其它实施方式中,通信芯片406是处理器404的部分。
根据其应用,计算设备400可以包括可以或可以不物理和电耦合到板402的其它部件。这些其它部件包括但不限于:易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、闪速存储器、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编解码器、视频编解码器、功率放大器、全球定位系统(GPS)设备、罗盘、加速度计、陀螺仪、扬声器、照相机、以及大容量存储设备(例如硬盘驱动器、光盘(CD)、数字多功能盘(DVD)等)。
通信芯片406实现了用于往返于计算设备400的数据传输的无线通信。术语“无线”及其派生词可以用于描述:可以通过使用经调制的电磁辐射通过非固态介质传送数据的电路、设备、系统、方法、技术、通信信道等。尽管在一些实施例中相关联的设备可以不包含任何导线,但是该术语并非要暗示相关联的设备不包含任何导线。通信芯片406可以实施若干无线标准或协议中的任何标准或协议,这些标准或协议包括但不限于Wi-Fi(IEEE 802.11族)、WiMAX(IEEE 802.16族)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、它们的衍生物、以及被称为3G、4G、5G和更高代的任何其它无线协议。计算设备400可以包括多个通信芯片406。例如,第一通信芯片406可以专用于诸如Wi-Fi和蓝牙等较短范围的无线通信,并且第二通信芯片406可以专用于诸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其它等较长范围的无线通信。
计算设备400的处理器404包括封装在处理器404内的集成电路管芯。在本发明的一些实施方式中,处理器的集成电路管芯包括根据本发明的实施方式所构建的一个或多个结构,例如自对准的过孔。术语“处理器”可以指代对来自寄存器和/或存储器的电子数据进行处理以将该电子数据转换成可以存储在寄存器和/或存储器中的其它电子数据的任何器件或器件的部分。
通信芯片406还包括封装在通信芯片406内的集成电路管芯。根据本发明的另一个实施方式,通信芯片的集成电路管芯包括根据本发明的实施方式所构建的一个或多个结构,例如自对准的过孔。
在其它实施方式中,容纳在计算设备400内的另一个部件可以包含集成电路管芯,该集成电路管芯包括根据本发明的实施方式所构建的一个或多个结构,例如自对准的过孔。
在各个实施方式中,计算设备400可以是膝上型计算机、上网本、笔记本、超级本、智能电话、平板电脑、个人数字助理(PDA)、超级移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数码相机、便携式音乐播放器、或数字视频录像机。在其它实施方式中,计算设备400可以是处理数据的任何其它电子设备。
因此,本发明的实施例包括用于后段(BEOL)互连的利用多色光桶的自对准过孔图案化。
在实施例中,一种用于集成电路的互连结构包括设置在衬底上方的互连结构的第一层,第一层包括第一方向上的交替的金属线和电介质线的第一格栅。电介质线具有高于金属线的最高表面的最高表面。互连结构的第二层设置在互连结构的第一层上方,第二层包括第二方向上的交替的金属线和电介质线的第二格栅,第二方向垂直于第一方向。电介质线具有低于第二格栅的金属线的最低表面的最低表面。第二格栅的电介质线与第一格栅的电介质线重叠并接触,但第二格栅的电介质线与第一格栅的电介质线不同。第一电介质区和第二电介质区设置在第一格栅的金属线与第二格栅的金属线之间,并且与第一格栅的电介质线的上部和第二格栅的电介质线的下部处于同一平面。第一电介质区由第一交联的可光解材料组成,并且第二电介质区由不同的第二交联的可光解材料组成。
在一个实施例中,第一交联的可光解材料是交联的193nm聚甲基丙烯酸酯抗蚀剂,并且第二交联的可光解材料是交联的248nm聚羟基苯乙烯抗蚀剂。
在一个实施例中,第一交联的可光解材料是交联的双色调抗蚀剂,并且第二交联的可光解材料是交联的单色调抗蚀剂。
在一个实施例中,互连结构还包括导电过孔,所述导电过孔设置在第一格栅的金属线与第二格栅的金属线之间并且将第一格栅的金属线耦合到第二格栅的金属线,所述导电过孔与电介质材料的第一区和第二区处于同一平面中。
在一个实施例中,导电过孔具有与第一格栅的金属线的中心直接对准并且与第二格栅的金属线的中心直接对准的中心。
在一个实施例中,第一格栅的电介质线由第一电介质材料组成,并且第二格栅的电介质线由不同的第二电介质材料组成,并且第一电介质材料和第二电介质材料与第一交联的可光解材料和第二交联的可光解材料不同。
在一个实施例中,第一格栅的电介质线和第二格栅的电介质线由相同的电介质材料组成,所述电介质材料与第一交联的可光解材料和第二交联的可光解材料不同。
在实施例中,一种制作用于集成电路的互连结构的方法包含:在ILD材料层上方形成掩模,掩模具有多个间隔开的特征,每个特征具有中心部分和一对侧壁间隔体。所述方法还包含:使用掩模形成部分进入ILD材料层的第一多个沟槽。所述方法还包含:在第一多个沟槽中形成第一色彩光桶。所述方法还包含:通过去除所述掩模的每个特征的中心部分来由所述掩模形成第二掩模。所述方法还包含:使用第二掩模形成部分进入ILD材料层的第二多个沟槽。所述方法还包含:在第二多个沟槽中形成不同的第二色彩光桶。所述方法还包含:通过使用第一光刻曝光来将第一色彩光桶中的一部分光桶曝光、显影并去除。所述方法还包含:通过使用不同的第二光刻曝光来将第二色彩光桶中的一部分光桶曝光、显影并去除。所述方法还包含:在去除了第一色彩光桶中的一部分光桶和第二色彩光桶中的一部分光桶的地方形成过孔位置。所述方法还包含:在过孔位置中形成金属过孔并且在金属过孔上方形成金属线。
在一个实施例中,第一色彩光桶由193nm聚甲基丙烯酸酯抗蚀剂组成并且第一光刻曝光是193nm的曝光,并且第二色彩光桶由248nm聚羟基苯乙烯抗蚀剂组成并且第二光刻曝光是248nm的曝光。
在一个实施例中,第一色彩光桶由双色调抗蚀剂组成,第二色彩光桶由单色调抗蚀剂组成,并且第一光刻曝光和第二光刻曝光包含通过不同剂量的相同波长的光进行辐射。
在一个实施例中,所述方法还包含:在过孔位置中形成金属过孔之前,去除所有剩下的第一色彩光桶和第二色彩光桶。
在一个实施例中,在存在基于碳的硬掩模层的情况下执行第一光刻曝光和第二光刻曝光的至少其中之一,并且随后使用灰化工艺来去除基于碳的硬掩模层。
在实施例中,一种制作用于集成电路的互连结构的方法包含:提供金属化结构,所述金属化结构包括具有第一方向的交替的金属线和电介质线的第一格栅,第一格栅的每条电介质线具有实质上与每条金属线的顶表面共面的顶表面。所述方法还包含:使第一格栅的金属线凹陷到第一格栅的电介质线的顶表面下方,以形成第一格栅的凹陷的金属线。所述方法还包含:在第一格栅的电介质线和凹陷的金属线上方形成层间电介质(ILD)层,ILD层具有与第一方向垂直的第二方向上的第二格栅,第二格栅显露所述凹陷的金属线的部分。所述方法还包含:在凹陷的金属线上方的所有可能的过孔位置中的大约一半过孔位置中形成第一色彩光桶。所述方法还包含:在凹陷的线上方的所有可能的过孔位置中的剩下的过孔位置中形成不同的第二色彩光桶。所述方法还包含:将第一色彩光桶和第二色彩光桶的一部分光桶曝光、显影并去除以形成一个或多个过孔开口。所述方法还包含:随后将所有剩下的第一色彩光桶和第二色彩光桶交联。所述方法还包含:在交联的第一色彩光桶和第二色彩光桶上方形成金属线,并且在与交联的第一色彩光桶和第二色彩光桶相同的平面中形成过孔。
在一个实施例中,形成第一色彩光桶和第二色彩光桶包含分别形成第一可光解材料和第二可光解材料,并且将剩下的第一色彩光桶和第二色彩光桶交联包含烘烤剩下的第一色彩光桶和第二色彩光桶。
在一个实施例中,第一可光解材料是193nm聚甲基丙烯酸酯抗蚀剂,并且第二可光解材料是248nm聚羟基苯乙烯抗蚀剂。
在一个实施例中,第一可光解材料是双色调抗蚀剂,并且第二可光解材料是单色调抗蚀剂。
在一个实施例中,将剩下的第一色彩光桶和第二色彩光桶交联包含形成永久性的ILD材料。
在一个实施例中,将第一色彩光桶和第二色彩光桶中的一部分光桶曝光、显影并去除包含:通过使用第一光刻曝光将第一色彩光桶中的一部分光桶曝光、显影并去除;以及通过使用不同的第二光刻曝光将第二色彩光桶中的一部分光桶曝光、显影并去除。第一色彩光桶由193nm聚甲基丙烯酸酯抗蚀剂组成并且第一光刻曝光是193nm的曝光,并且第二色彩光桶由248nm聚羟基苯乙烯抗蚀剂组成并且第二光刻曝光是248nm的曝光。
在一个实施例中,将第一色彩光桶和第二色彩光桶中的一部分光桶曝光、显影并去除包含:通过使用第一光刻曝光将第一色彩光桶中的一部分光桶曝光、显影并去除;以及通过使用不同的第二光刻曝光将第二色彩光桶中的一部分光桶曝光、显影并去除。第一色彩光桶由双色调抗蚀剂组成,并且第二色彩光桶由单色调抗蚀剂组成,并且第一光刻曝光和第二光刻曝光包含通过不同剂量的相同波长的光进行辐射。
在一个实施例中,第一格栅的电介质线由第一电介质材料组成,并且ILD层由不同的第二电介质材料组成。
在一个实施例中,第一格栅的电介质线和ILD层由相同的电介质材料组成。

Claims (28)

1.一种用于集成电路的互连结构,所述互连结构包括:
设置在衬底上方的所述互连结构的第一层,所述第一层包括第一方向上的交替的金属线和电介质线的第一格栅,其中,所述电介质线具有高于所述金属线的最高表面的最高表面;以及
设置在所述互连结构的所述第一层上方的所述互连结构的第二层,所述第二层包括第二方向上的交替的金属线和电介质线的第二格栅,所述第二方向垂直于所述第一方向,其中,所述电介质线具有低于所述第二格栅的所述金属线的最低表面的最低表面,其中,所述第二格栅的电介质线与所述第一格栅的电介质线重叠并接触,但所述第二格栅的电介质线与所述第一格栅的电介质线不同;以及
第一电介质区和第二电介质区,其设置在所述第一格栅的金属线与所述第二格栅的金属线之间,并且与所述第一格栅的电介质线的上部和所述第二格栅的电介质线的下部处于同一平面中,所述第一电介质区包括第一交联的可光解材料,并且所述第二电介质区包括不同的第二交联的可光解材料。
2.根据权利要求1所述的互连结构,其中,所述第一交联的可光解材料是交联的193nm聚甲基丙烯酸酯抗蚀剂,并且所述第二交联的可光解材料是交联的248nm聚羟基苯乙烯抗蚀剂。
3.根据权利要求1所述的互连结构,其中,所述第一交联的可光解材料是交联的双色调抗蚀剂,并且所述第二交联的可光解材料是交联的单色调抗蚀剂。
4.根据权利要求1所述的互连结构,还包括:
导电过孔,其设置在所述第一格栅的金属线与所述第二格栅的金属线之间并且将所述第一格栅的金属线耦合到所述第二格栅的金属线,所述导电过孔与所述第一电介质材料区和所述第二电介质材料区处于同一平面中。
5.根据权利要求4所述的互连结构,其中,所述导电过孔具有与所述第一格栅的金属线的中心直接对准并且与所述第二格栅的金属线的中心直接对准的中心。
6.根据权利要求1所述的互连结构,其中,所述第一格栅的电介质线包括第一电介质材料,并且所述第二格栅的电介质线包括不同的第二电介质材料,并且其中,所述第一电介质材料和所述第二电介质材料与所述第一交联的可光解材料和所述第二交联的可光解材料不同。
7.根据权利要求1所述互连结构,其中,所述第一格栅的电介质线和所述第二格栅的电介质线包括相同的电介质材料,所述电介质材料与所述第一交联的可光解材料和所述第二交联的可光解材料不同。
8.一种制作用于集成电路的互连结构的方法,所述方法包括:
在ILD材料层上方形成掩模,所述掩模具有多个间隔开的特征,所述多个间隔开的特征中的每个特征具有中心部分和一对侧壁间隔体;
使用所述掩模形成部分进入所述ILD材料层的第一多个沟槽;
在所述第一多个沟槽中形成第一色彩光桶;
通过去除所述掩模的每个特征的所述中心部分来由所述掩模形成第二掩模;
使用所述第二掩模形成部分进入所述ILD材料层的第二多个沟槽;
在所述第二多个沟槽中形成不同的第二色彩光桶;
通过使用第一光刻曝光来将所述第一色彩光桶中的一部分光桶曝光、显影并去除;
通过使用不同的第二光刻曝光来将所述第二色彩光桶中的一部分光桶曝光、显影并去除;
在去除了所述第一色彩光桶中的所述一部分光桶和所述第二色彩光桶中的所述一部分光桶的地方形成过孔位置;以及
在所述过孔位置中形成金属过孔并且在所述金属过孔上方形成金属线。
9.根据权利要求8所述的方法,其中,所述第一色彩光桶包括193nm聚甲基丙烯酸酯抗蚀剂并且所述第一光刻曝光是193nm的曝光,并且其中,所述第二色彩光桶包括248nm聚羟基苯乙烯抗蚀剂并且所述第二光刻曝光是248nm的曝光。
10.根据权利要求8所述的方法,其中,所述第一色彩光桶包括双色调抗蚀剂,所述第二色彩光桶包括单色调抗蚀剂,并且所述第一光刻曝光和所述第二光刻曝光包含通过不同剂量的相同波长的光进行辐射。
11.根据权利要求8所述的方法,其中,所述方法还包括:
在所述过孔位置中形成金属过孔之前,去除所述第一色彩光桶和所述第二色彩光桶中的所有剩下的光桶。
12.根据权利要求8所述的方法,其中,在存在基于碳的硬掩模层的情况下执行所述第一光刻曝光和所述第二光刻曝光的至少其中之一,并且其中,随后使用灰化工艺来去除所述基于碳的硬掩模层。
13.一种制作用于集成电路的互连结构的方法,所述方法包括:
提供金属化结构,所述金属化结构包括具有第一方向的交替的金属线和电介质线的第一格栅,所述第一格栅的每条电介质线具有实质上与每条金属线的顶表面共面的顶表面;
使所述第一格栅的所述金属线凹陷到所述第一格栅的所述电介质线的顶表面下方,以形成所述第一格栅的凹陷的金属线;
在所述第一格栅的所述电介质线和所述凹陷的金属线上方形成层间电介质(ILD)层,所述层间电介质层具有在与所述第一方向垂直的第二方向上的第二格栅,所述第二格栅显露了所述凹陷的金属线的部分;
在所述凹陷的金属线上方的所有可能的过孔位置中的一半过孔位置中形成第一色彩光桶;
在所述凹陷的金属线上方的所有可能的过孔位置中的剩下的过孔位置中形成不同的第二色彩光桶;
将所述第一色彩光桶和所述第二色彩光桶中的一部分光桶曝光、显影并去除以形成一个或多个过孔开口;以及随后,
将所有剩下的第一色彩光桶和第二色彩光桶交联;以及
在交联的第一色彩光桶和交联的第二色彩光桶上方形成金属线,并且在与所述交联的第一色彩光桶和所述交联的第二色彩光桶相同的平面中形成过孔。
14.根据权利要求13所述的方法,其中,形成所述第一色彩光桶和所述第二色彩光桶包括分别形成第一可光解材料和第二可光解材料,并且其中,将所述剩下的第一色彩光桶和第二色彩光桶交联包括烘烤所述剩下的第一色彩光桶和第二色彩光桶。
15.根据权利要求14所述的方法,其中,所述第一可光解材料是193nm聚甲基丙烯酸酯抗蚀剂,并且所述第二可光解材料是248nm聚羟基苯乙烯抗蚀剂。
16.根据权利要求14所述的方法,其中,所述第一可光解材料是双色调抗蚀剂,并且所述第二可光解材料是单色调抗蚀剂。
17.根据权利要求13所述方法,其中,将所述剩下的第一色彩光桶和第二色彩光桶交联包括形成永久性的层间电介质材料。
18.根据权利要求13所述方法,其中,将所述第一色彩光桶和所述第二色彩光桶中的一部分光桶曝光、显影并去除包括:通过使用第一光刻曝光将所述第一色彩光桶中的一部分光桶曝光、显影并去除;以及通过使用不同的第二光刻曝光将所述第二色彩光桶中的一部分光桶曝光、显影并去除,其中,所述第一色彩光桶包括193nm聚甲基丙烯酸酯抗蚀剂并且所述第一光刻曝光是193nm的曝光,并且其中,所述第二色彩光桶包括248nm聚羟基苯乙烯抗蚀剂并且所述第二光刻曝光是248nm的曝光。
19.根据权利要求13所述方法,其中,将所述第一色彩光桶和所述第二色彩光桶中的一部分光桶曝光、显影并去除包括:通过使用第一光刻曝光将所述第一色彩光桶中的一部分光桶曝光、显影并去除;以及通过使用不同的第二光刻曝光将所述第二色彩光桶中的一部分光桶曝光、显影并去除,并且其中,所述第一色彩光桶包括双色调抗蚀剂,所述第二色彩光桶包括单色调抗蚀剂,并且所述第一光刻曝光和所述第二光刻曝光包含通过不同剂量的相同波长的光进行辐射。
20.根据权利要求13所述的方法,其中,所述第一格栅的所述电介质线包括第一电介质材料,并且所述层间电介质层包括不同的第二电介质材料。
21.根据权利要求13所述的方法,其中,所述第一格栅的所述电介质线和层间电介质层包括相同的电介质材料。
22.一种用于集成电路的互连结构,所述互连结构包括:
设置在衬底上方的所述互连结构的第一层,所述第一层包括第一方向上的交替的金属线和电介质线的第一格栅;以及
设置在所述互连结构的所述第一层上方的所述互连结构的第二层,所述第二层包括第二方向上的交替的金属线和电介质线的第二格栅,所述第二方向垂直于所述第一方向;以及
第一电介质区和第二电介质区,其设置在所述第一格栅的金属线与所述第二格栅的金属线之间,所述第一电介质区包括第一交联的可光解材料,并且所述第二电介质区包括不同的第二交联的可光解材料。
23.根据权利要求22所述的互连结构,其中,所述第一交联的可光解材料是交联的193nm聚甲基丙烯酸酯抗蚀剂,并且所述第二交联的可光解材料是交联的248nm聚羟基苯乙烯抗蚀剂。
24.根据权利要求22所述的互连结构,其中,所述第一交联的可光解材料是交联的双色调抗蚀剂,并且所述第二交联的可光解材料是交联的单色调抗蚀剂。
25.根据权利要求22所述的互连结构,还包括:
导电过孔,其设置在所述第一格栅的金属线与所述第二格栅的金属线之间并且将所述第一格栅的金属线耦合到所述第二格栅的金属线。
26.根据权利要求25所述的互连结构,其中,所述导电过孔具有与所述第一格栅的金属线的中心直接对准并且与所述第二格栅的金属线的中心直接对准的中心。
27.根据权利要求22所述的互连结构,其中,所述第一格栅的电介质线包括第一电介质材料,并且所述第二格栅的电介质线包括不同的第二电介质材料,并且其中,所述第一电介质材料和所述第二电介质材料与所述第一交联的可光解材料和所述第二交联的可光解材料不同。
28.根据权利要求22所述的互连结构,其中,所述第一格栅的电介质线和所述第二格栅的电介质线包括相同的电介质材料,所述电介质材料与所述第一交联的可光解材料和所述第二交联的可光解材料不同。
CN201480063066.3A 2013-12-18 2014-10-30 用于后段(beol)互连的利用多色光桶的自对准过孔图案化 Active CN105793977B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/133,344 2013-12-18
US14/133,344 US9041217B1 (en) 2013-12-18 2013-12-18 Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
PCT/US2014/063128 WO2015094488A1 (en) 2013-12-18 2014-10-30 Self-aligned via patterning with multi-colored photobuckets for back end of line (beol) interconnects

Publications (2)

Publication Number Publication Date
CN105793977A CN105793977A (zh) 2016-07-20
CN105793977B true CN105793977B (zh) 2019-07-05

Family

ID=53176352

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480063066.3A Active CN105793977B (zh) 2013-12-18 2014-10-30 用于后段(beol)互连的利用多色光桶的自对准过孔图案化

Country Status (6)

Country Link
US (2) US9041217B1 (zh)
EP (1) EP3084820A4 (zh)
KR (1) KR102281062B1 (zh)
CN (1) CN105793977B (zh)
TW (1) TWI550798B (zh)
WO (1) WO2015094488A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11854787B2 (en) 2016-12-23 2023-12-26 Intel Corporation Advanced lithography and self-assembled devices

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110060972B (zh) 2013-09-27 2024-02-23 英特尔公司 用于后段(beol)互连的自对准过孔及插塞图案化
CN105493250B (zh) * 2013-09-27 2018-12-18 英特尔公司 用于后段(beol)互连的减数法自对准过孔和插塞图案化
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US9324650B2 (en) * 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
KR102314664B1 (ko) * 2014-09-22 2021-10-20 인텔 코포레이션 하부에 놓인 격자 상의 비-반사 복사선 리소그래피를 이용한 멀티-패스 패터닝
US10269623B2 (en) 2015-06-22 2019-04-23 Intel Corporation Image tone-reversal with a dielectric using bottom-up cross-linking for back end of line (BEOL) interconnects
KR102423220B1 (ko) * 2015-06-26 2022-07-20 인텔 코포레이션 감산적으로 패터닝된 자기 정렬된 상호접속부들, 플러그들, 및 비아들을 위한 텍스타일 패터닝
KR20180045892A (ko) * 2015-09-24 2018-05-04 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
US9793164B2 (en) * 2015-11-12 2017-10-17 Qualcomm Incorporated Self-aligned metal cut and via for back-end-of-line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices
WO2017086907A1 (en) * 2015-11-16 2017-05-26 Intel Corporation Structures and methods for improved lithographic processing
WO2017111924A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Grating based plugs and cuts for feature end formation for back end of line (beol) interconnects and structures resulting therefrom
US10319625B2 (en) * 2015-12-22 2019-06-11 Intel Corporation Metal via processing schemes with via critical dimension (CD) control for back end of line (BEOL) interconnects and the resulting structures
US9837314B2 (en) * 2016-02-02 2017-12-05 Tokyo Electron Limited Self-alignment of metal and via using selective deposition
US10546772B2 (en) * 2016-03-30 2020-01-28 Intel Corporation Self-aligned via below subtractively patterned interconnect
US10867853B2 (en) 2016-05-27 2020-12-15 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US10804141B2 (en) 2016-05-27 2020-10-13 Intel Corporation Damascene plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US10727138B2 (en) * 2016-06-28 2020-07-28 Intel Corporation Integration of single crystalline transistors in back end of line (BEOL)
US11137681B2 (en) 2016-07-01 2021-10-05 Intel Corporation Lined photobucket structure for back end of line (BEOL) interconnect formation
WO2018063330A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Plug & trench architectures for integrated circuits & methods of manufacture
WO2018063323A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Via & plug architectures for integrated circuit interconnects & methods of manufacture
WO2018075755A1 (en) * 2016-10-20 2018-04-26 Tokyo Electron Limited Method of reducing overlay error in via to grid patterning
WO2018101961A1 (en) * 2016-12-02 2018-06-07 Intel Corporation Surface-aligned lithographic patterning approaches for back end of line (beol) interconnect fabrication
CN109997219B (zh) * 2016-12-23 2023-08-04 英特尔公司 用于调制电桶敏感度的差异化硬掩模
WO2018118085A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
WO2018169538A1 (en) * 2017-03-16 2018-09-20 Intel Corporation Photoresist with electron-activated photosensitizers for confined patterning lithography
WO2018236354A1 (en) * 2017-06-20 2018-12-27 Intel Corporation METALLIC SPACER APPROACHES FOR CONDUCTIVE INTERCONNECTION AND MANUFACTURING AND STRUCTURES OBTAINED THEREFROM
TWI796358B (zh) * 2017-09-18 2023-03-21 美商應用材料股份有限公司 選擇性蝕刻的自對準通孔製程
US10475736B2 (en) 2017-09-28 2019-11-12 Intel Corporation Via architecture for increased density interface
JP7222584B2 (ja) 2018-03-28 2023-02-15 インテル・コーポレーション 導電ビア製造のためのエッチングストップ層ベースのアプローチおよびその結果として得られる構造
KR20200128441A (ko) * 2018-04-03 2020-11-12 도쿄엘렉트론가부시키가이샤 완전 자기 정렬 방식을 사용하는 서브트랙티브 상호연결부 형성
US10395926B1 (en) * 2018-04-17 2019-08-27 Globalfoundries Inc. Multiple patterning with mandrel cuts formed using a block mask
US11437284B2 (en) 2018-08-31 2022-09-06 Applied Materials, Inc. Contact over active gate structure
US10930556B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US10930555B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US10770344B2 (en) 2019-01-09 2020-09-08 Globalfoundries Inc. Chamferless interconnect vias of semiconductor devices
US11437273B2 (en) 2019-03-01 2022-09-06 Micromaterials Llc Self-aligned contact and contact over active gate structures
US10950459B1 (en) 2019-09-13 2021-03-16 International Business Machines Corporation Back end of line structures with metal lines with alternating patterning and metallization schemes
US20210098373A1 (en) * 2019-09-26 2021-04-01 Intel Corporation Integrated circuit structures having differentiated interconnect lines in a same dielectric layer
US11094580B2 (en) 2019-10-01 2021-08-17 International Business Machines Corporation Structure and method to fabricate fully aligned via with reduced contact resistance
US11264276B2 (en) 2019-10-22 2022-03-01 International Business Machines Corporation Interconnect integration scheme with fully self-aligned vias
US11244860B2 (en) 2019-10-22 2022-02-08 International Business Machines Corporation Double patterning interconnect integration scheme with SAV

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1332472A (zh) * 2000-06-22 2002-01-23 三菱电机株式会社 微细图形形成方法和使用该方法的半导体装置的制造方法
CN1462289A (zh) * 2000-09-21 2003-12-17 住友电木株式会社 耐热性树脂的预聚体,耐热性树脂,绝缘膜和半导体装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888897A (en) * 1996-10-31 1999-03-30 Intel Corporation Process for forming an integrated structure comprising a self-aligned via/contact and interconnect
US6365504B1 (en) 1999-10-15 2002-04-02 Tsmc-Acer Semiconductor Manufacturing Corporation Self aligned dual damascene method
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7939445B1 (en) 2007-03-16 2011-05-10 Marvell International Ltd. High density via and metal interconnect structures, and methods of forming the same
KR101011490B1 (ko) * 2007-06-08 2011-01-31 도쿄엘렉트론가부시키가이샤 패터닝 방법
EP3251719B1 (en) * 2008-02-05 2020-10-21 Bridgepoint Medical, Inc. Crossing occlusions in blood vessels
US20090200683A1 (en) 2008-02-13 2009-08-13 International Business Machines Corporation Interconnect structures with partially self aligned vias and methods to produce same
US8298943B1 (en) 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
US8614144B2 (en) 2011-06-10 2013-12-24 Kabushiki Kaisha Toshiba Method for fabrication of interconnect structure with improved alignment for semiconductor devices
US9252188B2 (en) * 2011-11-17 2016-02-02 Micron Technology, Inc. Methods of forming memory cells
CN107579038B (zh) * 2011-12-29 2021-02-26 英特尔公司 具有罩层的气隙互连以及形成的方法
KR20130124861A (ko) * 2012-05-07 2013-11-15 삼성전자주식회사 패턴 형성 방법
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9209077B2 (en) * 2013-12-20 2015-12-08 Intel Corporation Diagonal hardmasks for improved overlay in fabricating back end of line (BEOL) interconnects
US9054164B1 (en) * 2013-12-23 2015-06-09 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1332472A (zh) * 2000-06-22 2002-01-23 三菱电机株式会社 微细图形形成方法和使用该方法的半导体装置的制造方法
CN1462289A (zh) * 2000-09-21 2003-12-17 住友电木株式会社 耐热性树脂的预聚体,耐热性树脂,绝缘膜和半导体装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11854787B2 (en) 2016-12-23 2023-12-26 Intel Corporation Advanced lithography and self-assembled devices

Also Published As

Publication number Publication date
CN105793977A (zh) 2016-07-20
TWI550798B (zh) 2016-09-21
KR102281062B1 (ko) 2021-07-23
US20150171009A1 (en) 2015-06-18
US9041217B1 (en) 2015-05-26
WO2015094488A1 (en) 2015-06-25
EP3084820A4 (en) 2017-10-25
US20150255284A1 (en) 2015-09-10
EP3084820A1 (en) 2016-10-26
US9406512B2 (en) 2016-08-02
KR20160098174A (ko) 2016-08-18
TW201532224A (zh) 2015-08-16

Similar Documents

Publication Publication Date Title
CN105793977B (zh) 用于后段(beol)互连的利用多色光桶的自对准过孔图案化
CN105745745B (zh) 用于后段工艺(beol)互连件的借助光桶的自对准过孔和插塞图案化
CN105493250B (zh) 用于后段(beol)互连的减数法自对准过孔和插塞图案化
CN105745746B (zh) 用于制造后段(beol)互连中改进的重叠的对角线硬掩模
US8871646B2 (en) Methods of forming a masking pattern for integrated circuits
KR102364318B1 (ko) Beol 패턴 커팅 및 플러깅을 위한 노출 활성화된 화학적으로 증폭된 dsa
US11955343B2 (en) Two-stage bake photoresist with releasable quencher
US10892184B2 (en) Photobucket floor colors with selective grafting
US11953826B2 (en) Lined photobucket structure for back end of line (BEOL) interconnect formation
US20220130719A1 (en) Differential hardmasks for modulation of electrobucket sensitivity
WO2018169538A1 (en) Photoresist with electron-activated photosensitizers for confined patterning lithography

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant