CN104657245A - 基于amba总线的模块级uvm验证平台的自动生成装置 - Google Patents

基于amba总线的模块级uvm验证平台的自动生成装置 Download PDF

Info

Publication number
CN104657245A
CN104657245A CN201310589511.7A CN201310589511A CN104657245A CN 104657245 A CN104657245 A CN 104657245A CN 201310589511 A CN201310589511 A CN 201310589511A CN 104657245 A CN104657245 A CN 104657245A
Authority
CN
China
Prior art keywords
uvm
verification
register
maker
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310589511.7A
Other languages
English (en)
Other versions
CN104657245B (zh
Inventor
王平平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huahong Integrated Circuit Co Ltd
Original Assignee
Shanghai Huahong Integrated Circuit Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huahong Integrated Circuit Co Ltd filed Critical Shanghai Huahong Integrated Circuit Co Ltd
Priority to CN201310589511.7A priority Critical patent/CN104657245B/zh
Publication of CN104657245A publication Critical patent/CN104657245A/zh
Application granted granted Critical
Publication of CN104657245B publication Critical patent/CN104657245B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

本发明公开了一种基于AMBA总线的模块级UVM验证平台的自动生成装置,包括选项解析器、UVM寄存器模型生成器,接口验证组件生成器,模块验证组件生成器,UVM测试用例生成器,UVM验证平台生成器以及UVM测试脚本生成器。通过输入模块名称,AMBA总线类型,其他接口总线名称和模块寄存器列表,该装置可以自动生成模块级UVM验证平台。本发明降低了复杂的UVM验证方法学对用户的要求,缩短了搭建模块级UVM验证平台所需要的周期,大大提高了模块验证的质量和效率。

Description

基于AMBA总线的模块级UVM验证平台的自动生成装置
技术领域
本发明涉及集成电路设计功能验证和验证方法学领域,特别是涉及一种基于AMBA(高级微控制器总线架构)总线的模块级UVM(通用验证方法学)验证平台的自动生成装置。
背景技术
随着超大规模集成电路工艺的进步,SOC(系统级芯片)设计的复杂度不断提升,IP(知识产权)核复用已成为SOC设计中非常重要的一部分,人们对IP核功能验证的效率和质量也提出了更高的要求,从而推动了验证方法学的不断发展。
从2002年Verisity公司公布的一个验证方法学eRM(e可重用方法学)开始,2003年Synopsys(新思科技)公司公布了可重用验证方法学库(RVM),2006年Mentor(明导)公司公布了高级验证方法学(AVM),同年Synopsys公司推出了验证方法学手册(VMM),2007年Cadence(铿腾电子科技)公司推出了通用可重用验证方法学(URM),2008年Cadence公司和Mentor公司共同推出了开放验证方法学(OVM),直到2010年Accellera标准组织以OVM为基础推出的UVM,业界的验证方法学才真正统一。UVM代表着验证技术的最新进展,使用它可创建可重用、具互操作性的验证IP和测试流程,目前已成为验证业界的标准。
但是UVM验证方法学本身引入了大量的新概念和新流程,特别是对于初学者来说,短时间内理解并建立一套符合UVM标准的验证平台还是相当有难度的。特别是UVM虽然已成为验证标准,但是不同EAD(电子设计自动化)厂家提供的工具用法和流程还是大相径庭。
另外,目前市场上使用AMBA总线接口的IP核已经占到70%,该类模块的验证在SOC集成和IP复用过程中尤为关键。
发明内容
本发明要解决的技术问题是提供一种基于AMBA总线的模块级UVM验证平台的自动生成装置,能够缩短搭建模块级UVM验证平台的周期,提高模块验证的质量和效率。
为解决上述技术问题,本发明的基于AMBA总线的模块级UVM验证平台的自动生成装置,包括:
一选项解析器,用于解析输入参数;
一UVM寄存器模型生成器,与所述选项解析器相连接,用于生成符合UVM标准的UVM寄存器模型;
一接口验证组件生成器,与所述选项解析器相连接,用于自动产生符合UVM标准的AMBA总线接口验证组件包,产生通用的验证组件模板;
一模块验证组件生成器,与所述UVM寄存器模型生成器和接口验证组件生成器相连接,用于自动产生符合UVM规则的模块验证组件;
一UVM测试用例生成器,与所述模块验证组件生成器相连接,用于自动生成符合UVM标准的复位测试用例,寄存器测试用例和供用户定制的测试用例模板;
一UVM验证平台生成器,与所述UVM测试用例生成器相连接,用于产生符合UVM标准的UVM验证平台;
一UVM测试脚本生成器,与所述UVM测试平台生成器相连接,用于自动产生针对不同验证工具的所有验证脚本。
本发明可以根据输入的AMBA总线类型和寄存器列表,自动生成一套UVM验证平台,包括验证环境,自动化脚本和测试用例。
本发明降低了复杂的UVM验证方法学对用户的要求,缩短了搭建模块级UVM验证平台所需要的周期,大大提高了模块验证的质量和效率;改善了IP验证效率和可靠性。
附图说明
下面结合附图与具体实施方式对本发明作进一步详细的说明:
附图是所述基于AMBA总线的模块级UVM验证平台的自动生成装置结构框图。
具体实施方式
参见附图,在下面的实施例中,所述基于AMBA总线的模块级UVM验证平台的自动生成装置(以下简称“装置”),包括:
一选项解析器,负责解析装置的输入参数,包括模块名称,AMBA总线类型,接口总线名称,寄存器列表。参数解析的结果将被传递给装置的其他部分。所述AMBA总线类型的选择可以在装置的入口指定。所述寄存器列表可以在装置的入口指定。
一UVM寄存器模型生成器,负责生成符合UVM标准的UVM寄存器模型。典型的工作流程是,首先检查EDA工具的系统设置,如果Cadence公司EDA工具有效并且寄存器列表格式为EXCEL或IPXACT,首先进行相应格式转化然后调用Cadence流程;类似,如果Synopsys公司EDA工具有效并且寄存器列表格式为EXCEL(电子表格)、IPXACT(IEEE标准,用于表述电子器件的设计信息)或RALF(synopsys公司寄存器抽象层格式),首先进行相应格式转化然后调用Synopsys流程。另外,为了使生成的UVM寄存器模型更加通用,所述UVM寄存器模型生成器对不同仿真工具对应的寄存器模型层次结构做统一调整,使得最后产生的UVM验证平台统一且不局限于任何仿真工具。例如,对Synopsys流程生成的寄存器模型需要做层次结构的调整。所述寄存器列表格式支持但不限于IPXACT,EXCEL,RALF等。
一接口验证组件生成器,包含两部分功能。
一部分是根据选项解析器输出的AMBA总线类型,产生完整的AMBA总线接口验证组件包,包括UVM环境的源代码,所述AMBA总线接口验证组件的集成测试范例,脚本范例以及说明文本。所述AMBA总线接口验证组件包不需要任何修改可以直接被UVM验证平台生成器复用。
另外一部分是根据选项解析器输出的接口总线名称,产生通用的接口验证组件模板,包括符合UVM标准结构的源代码,集成测试范例,脚本范例以及说明文本。因为所有与具体信号无关的UVM特有的源代码,包括组件之间的互连都已经完成,用户只需要根据通用的接口验证组件模板的提示,在适当的地方插入接口信号名称,具体信号的驱动逻辑,检查逻辑和覆盖率收集代码,就可以得到一套完整的UVM接口验证组件,并且为该UVM接口验证组件的使用和配置预留了足够的事务级接口。
对于指定的AMBA总线类型,接口验证组件生成器可以自动产生完备的符合UVM规则的配置文件,主代理(master agent)和从代理(slave agent)。
一模块验证组件生成器,负责产生符合UVM标准的模块验证组件。首先该生成器根据选项解析器输出的模块名称和AMBA总线类型,将UVM寄存器模型生成器生成的寄存器模型和接口验证组件生成器生成的AMBA总线接口验证组件包集成,建立寄存器访问通路的事务级连接和寄存器监控通路的事务级连接。然后根据寄存器和AMBA总线的事务级接口输出符合UVM标准的计分板模板,监测器模板,虚拟序列,顶层UVM环境以及配置文件。最后将前两步的输出整合成一个完整的UVM包,即模块验证组件。对于指定的AMBA总线类型,模块验证组件生成器可以自动产生符合UVM规则的完整的寄存器读写和检查通路。
一UVM测试用例生成器,负责符合UVM规则的寄存器上电复位测试用例,寄存器读写遍历测试用例和供用户定制(扩展)的测试用例模板的生成。其中寄存器上电复位测试用例会被封装成完整的UVM序列,可被用户直接复用。
寄存器上电复位测试用例用于上电复位后寄存器复位值的检查;寄存器读写遍历测试用例,用于遍历所有寄存器位的读写,以检查读写通路和寄存器的属性。鉴于所有寄存器信息都可以从UVM寄存器模型生成器产生的寄存器模型中获得,而且完整的寄存器访问和监控通路的事务级连接在模块验证组件生成器产生的模块验证组件中已经具备,所以生成的寄存器测试用例是完备高效的,可以直接被用户用于测试。
供用户定制的测试用例模板中完成测试用例和虚拟序列的配置连接,基础序列和虚拟序列的配置和连接,以及模板序列和虚拟序列的配置和连接,包括大量的注释引导语可以帮助用户快速完成特定测试用例。
一UVM验证平台生成器,负责产生符合UVM标准的UVM验证平台。该生成器主要包含两部分功能:第一部分导入前面生成的UVM寄存器模型,AMBA总线接口验证组件包,通用的接口验证组件模板,模块验证组件以及虚拟序列,并在不同的UVM阶段完成各层次的创建和连接。第二部分导入接口文件和测试用例包,完成DUT(测试目标)的例化模板,时钟产生逻辑和复位逻辑,还包括AMBA总线接口验证组件包、通用的接口验证组件模板、模块验证组件和寄存器模型的例化、连接和配置,以及UVM测试的启动。
UVM验证平台生成器可以自动集成并连接AMBA总线接口验证组件包、通用的接口验证组件模板、模块验证组件和寄存器模型,且自动生成通用的时钟和复位逻辑,并为DUT例化预留入口。
一UVM测试脚本生成器,负责针对不同验证工具的所有验证脚本的生成。比如针对Cadence公司Incisive仿真器,将会生成用于编译的UVM文件列表,RTL文件列表,编译仿真脚本(或称“编译调试脚本”),波形处理脚本(或称“波形生成及查看脚本”),覆盖率定义及收集整合脚本(或称“覆盖率收集脚本”)。另外对于测试回归,将会生成测试回归脚本和所有测试用例的列表。这套通用高效的验证脚本使得用户可以在不同公司的仿真工具间随意切换而不需做任何验证脚本改动就可以直接仿真。由于所述UVM测试用例生成器已经预先生成了寄存器上电复位测试用例和寄存器读写遍历测试用例,用户只需要对DUT进行例化,并更新RTL文件列表,不需要再做其他改动就可以直接完成寄存器上电复位测试和寄存器读写遍历测试,使得用户可以快速完成基本测试。
所有的验证脚本和流程支持但不限于Cadence仿真工具和Synopsys仿真工具。
所述接口总线名称是指除AMBA总线以外的其他接口总线名称,不局限于任何总线。
以上通过具体实施方式对本发明进行了详细的说明,但这些并非构成对本发明的限制。在不脱离本发明原理的情况下,本领域的技术人员还可做出许多变形和改进,这些也应视为本发明的保护范围。

Claims (23)

1.一种基于AMBA总线的模块级UVM验证平台的自动生成装置,其特征在于,包括:
一选项解析器,用于解析输入参数;
一UVM寄存器模型生成器,与所述选项解析器相连接,用于生成符合UVM标准的UVM寄存器模型;
一接口验证组件生成器,与所述选项解析器相连接,用于自动产生符合UVM标准的AMBA总线接口验证组件包,产生通用的验证组件模板;
一模块验证组件生成器,与所述UVM寄存器模型生成器和接口验证组件生成器相连接,用于自动产生符合UVM标准的模块验证组件;
一UVM测试用例生成器,与所述模块验证组件生成器相连接,用于自动生成符合UVM标准的寄存器上电复位测试用例,寄存器读写遍历测试用例和供用户定制的测试用例模板;
一UVM验证平台生成器,与所述UVM测试用例生成器相连接,用于产生符合UVM标准的UVM验证平台;
一UVM测试脚本生成器,与所述UVM测试平台生成器相连接,用于自动产生针对不同验证工具的所有验证脚本。
2.如权利要求1所述的装置,其特征在于:所述输入参数包括模块名称,AMBA总线类型,接口总线名称,寄存器列表。
3.如权利要求1所述的装置,其特征在于:所述AMBA总线类型的选择在装置的入口指定。
4.如权利要求1所述的装置,其特征在于:所述寄存器列表在装置的入口指定。
5.如权利要求1所述的装置,其特征在于:所述寄存器列表格式支持但不限于IPXACT,EXCEL,RALF。
6.如权利要求1所述的装置,其特征在于:所述UVM寄存器模型生成器的工作流程为:检查EDA工具的系统设置;如果Cadence公司EDA工具有效并且寄存器列表格式为EXCEL或IPXACT,则进行相应格式转化,然后调用Cadence流程;如果Synopsys公司EDA工具有效并且寄存器列表格式为EXCEL、IPXACT或RALF,则进行相应格式转化,然后调用Synopsys流程。
7.如权利要求1所述的装置,其特征在于:所述UVM寄存器模型生成器对不同仿真工具对应的寄存器模型层次结构做统一调整,使得最后产生的UVM验证平台统一且不局限于任何仿真工具。
8.如权利要求1所述的装置,其特征在于:所述接口验证组件生成器根据选项解析器输出的AMBA总线类型,产生AMBA总线接口验证组件包,包括UVM环境的源代码,AMBA总线接口验证组件的集成测试范例,脚本范例以及说明文本;所述AMBA总线接口验证组件包不需要任何修改,直接被所述UVM验证平台生成器复用。
9.如权利要求1所述的装置,其特征在于:所述接口验证组件生成器根据选项解析器输出的接口名称,产生通用的接口验证组件模板,包括符合UVM标准结构的源代码,集成测试范例,脚本范例以及说明文本。
10.如权利要求1或9所述的装置,其特征在于:用户只需要根据所述通用的接口验证组件模板的提示,在适当的地方插入接口信号名称,具体信号的驱动逻辑,检查逻辑和覆盖率收集代码,就能得到一套完整的UVM接口验证组件,并且为该UVM接口验证组件的使用和配置预留事务级接口。
11.如权利要求1所述的装置,其特征在于:所述接口验证组件生成器,对于指定的AMBA总线类型,自动产生完备的符合UVM规则的配置文件,主代理和从代理。
12.如权利要求1所述的装置,其特征在于:所述模块验证组件生成器,先根据选项解析器输出的模块名称和AMBA总线类型,将UVM寄存器模型生成器生成的寄存器模型和接口验证组件生成器生成的AMBA总线接口验证组件包集成,建立寄存器访问通路的事务级连接和寄存器监控通路的事务级连接;再根据寄存器和AMBA总线的事务级接口输出符合UVM标准的计分板模板,监测器模板,虚拟序列,顶层UVM环境以及配置文件;最后将所述集成和输出的部分整合成一个完整的UVM包,即模块验证组件。
13.如权利要求1所述的装置,其特征在于:所述模块验证组件生成器对于指定的AMBA总线类型,自动产生符合UVM规则的完整的寄存器读写和检查通路。
14.如权利要求1所述的装置,其特征在于:所述UVM测试用例生成器产生的寄存器上电复位测试用例,被封装成完整的UVM序列,用户能直接复用。
15.如权利要求1所述的装置,其特征在于:所述寄存器上电寄存器测试用例,用于上电复位后寄存器复位值的检查;寄存器读写遍历测试用例,用于遍历所有寄存器位的读写,以检查读写通路和寄存器的属性。
16.如权利要求1所述的装置,其特征在于:所述供用户定制的测试用例模板中完成测试用例和虚拟序列的配置连接,基础序列和虚拟序列的配置和连接,以及模板序列和虚拟序列的配置和连接,包括注释引导语帮助用户完成特定测试用例。
17.如权利要求1所述的装置,其特征在于:所述UVM验证平台生成器导入所述UVM寄存器模型,AMBA总线接口验证组件包,通用的接口验证组件模板,模块验证组件以及虚拟序列,并在不同的UVM阶段完成各层次的创建和连接。
18.如权利要求1所述的装置,其特征在于:所述UVM验证平台生成器导入接口文件和测试用例包,完成测试目标DUT的例化模板,时钟产生逻辑和复位逻辑,AMBA总线接口验证组件、通用的接口验证组件模板、模块验证组件和寄存器模型的例化、连接和配置,以及UVM测试的启动。
19.如权利要求1所述的装置,其特征在于:所述UVM验证平台生成器自动集成并连接AMBA总线接口验证组件、通用的接口验证组件模板,模块验证组件和寄存器模型,且自动生成通用的时钟和复位逻辑,并为测试目标DUT例化预留入口。
20.如权利要求1所述的装置,其特征在于:所述验证脚本包括针对Cadence公司Incisive仿真器,将会生成用于编译的UVM文件列表,RTL文件列表,编译仿真脚本,波形处理脚本,覆盖率定义及收集整合脚本;对于测试回归,将会生成测试回归脚本和所有测试用例的列表。
21.如权利要求1所述的装置,其特征在于:所述验证脚本使用户在不同仿真工具间能随意切换,而不需做任何验证脚本改动直接仿真。
22.如权利要求1所述的装置,其特征在于:所述验证脚本使用户只需要对测试目标DUT进行例化,并更新RTL文件列表,不需要再做其他改动直接完成寄存器上电复位测试和寄存器读写遍历测试。
23.如权利要求1所述的装置,其特征在于:所有验证脚本和流程支持但不限于Cadence仿真工具和Synopsys仿真工具。
CN201310589511.7A 2013-11-20 2013-11-20 基于amba总线的模块级uvm验证平台的自动生成装置 Active CN104657245B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310589511.7A CN104657245B (zh) 2013-11-20 2013-11-20 基于amba总线的模块级uvm验证平台的自动生成装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310589511.7A CN104657245B (zh) 2013-11-20 2013-11-20 基于amba总线的模块级uvm验证平台的自动生成装置

Publications (2)

Publication Number Publication Date
CN104657245A true CN104657245A (zh) 2015-05-27
CN104657245B CN104657245B (zh) 2017-01-04

Family

ID=53248416

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310589511.7A Active CN104657245B (zh) 2013-11-20 2013-11-20 基于amba总线的模块级uvm验证平台的自动生成装置

Country Status (1)

Country Link
CN (1) CN104657245B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104898991A (zh) * 2015-06-10 2015-09-09 烽火通信科技股份有限公司 一种基于自动化ral的寄存器访问方法及系统
CN105068908A (zh) * 2015-07-28 2015-11-18 浪潮集团有限公司 一种用于kvm asic的功能验证平台的搭建方法
CN106940428A (zh) * 2016-01-04 2017-07-11 中兴通讯股份有限公司 芯片验证方法、装置及系统
CN106991213A (zh) * 2017-03-09 2017-07-28 记忆科技(深圳)有限公司 一种实现SoC验证的交互机制的方法
CN108038294A (zh) * 2017-12-06 2018-05-15 北京松果电子有限公司 Uvm环境搭建方法和系统
CN109726476A (zh) * 2018-12-29 2019-05-07 杭州迪普科技股份有限公司 基于uvm验证平台的验证方法和装置
CN110096441A (zh) * 2019-04-26 2019-08-06 北京航空航天大学 一种基于uvm方法下fpga软件仿真测试环境建立方法
CN111859834A (zh) * 2020-06-12 2020-10-30 苏州浪潮智能科技有限公司 一种基于uvm的验证平台开发方法、系统、终端及存储介质
CN112131827A (zh) * 2020-09-11 2020-12-25 山东云海国创云计算装备产业创新中心有限公司 一种芯片测试方法、系统、设备及存储介质
CN112270149A (zh) * 2020-10-16 2021-01-26 山东云海国创云计算装备产业创新中心有限公司 验证平台自动化集成方法、系统及电子设备和存储介质
CN112560378A (zh) * 2020-12-23 2021-03-26 苏州易行电子科技有限公司 一种应用于整合完整芯片开发流程的自动化平台
CN113866586A (zh) * 2020-06-30 2021-12-31 澜至电子科技(成都)有限公司 一种系统级芯片的验证平台及验证方法
CN116306388A (zh) * 2023-05-23 2023-06-23 苇创微电子(上海)有限公司 一种免除路径连接的自动化uvm验证平台及其搭建方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102117238A (zh) * 2010-01-05 2011-07-06 上海硅知识产权交易中心有限公司 Ip核amba总线接口兼容性通用验证方法及验证平台
CN102567122A (zh) * 2010-12-27 2012-07-11 北京国睿中数科技股份有限公司 多仿真验证平台下的处理器参考模型的通信接口方法
CN103455460A (zh) * 2012-06-01 2013-12-18 广东新岸线计算机系统芯片有限公司 一种验证高级微控制器总线接口的装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102117238A (zh) * 2010-01-05 2011-07-06 上海硅知识产权交易中心有限公司 Ip核amba总线接口兼容性通用验证方法及验证平台
CN102567122A (zh) * 2010-12-27 2012-07-11 北京国睿中数科技股份有限公司 多仿真验证平台下的处理器参考模型的通信接口方法
CN103455460A (zh) * 2012-06-01 2013-12-18 广东新岸线计算机系统芯片有限公司 一种验证高级微控制器总线接口的装置

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104898991A (zh) * 2015-06-10 2015-09-09 烽火通信科技股份有限公司 一种基于自动化ral的寄存器访问方法及系统
CN104898991B (zh) * 2015-06-10 2018-07-27 烽火通信科技股份有限公司 一种基于自动化ral的寄存器访问方法及系统
CN105068908A (zh) * 2015-07-28 2015-11-18 浪潮集团有限公司 一种用于kvm asic的功能验证平台的搭建方法
CN106940428A (zh) * 2016-01-04 2017-07-11 中兴通讯股份有限公司 芯片验证方法、装置及系统
CN106991213A (zh) * 2017-03-09 2017-07-28 记忆科技(深圳)有限公司 一种实现SoC验证的交互机制的方法
CN108038294A (zh) * 2017-12-06 2018-05-15 北京松果电子有限公司 Uvm环境搭建方法和系统
CN108038294B (zh) * 2017-12-06 2022-01-11 北京小米松果电子有限公司 Uvm环境搭建方法和系统
CN109726476A (zh) * 2018-12-29 2019-05-07 杭州迪普科技股份有限公司 基于uvm验证平台的验证方法和装置
CN110096441A (zh) * 2019-04-26 2019-08-06 北京航空航天大学 一种基于uvm方法下fpga软件仿真测试环境建立方法
CN111859834A (zh) * 2020-06-12 2020-10-30 苏州浪潮智能科技有限公司 一种基于uvm的验证平台开发方法、系统、终端及存储介质
CN111859834B (zh) * 2020-06-12 2023-04-14 苏州浪潮智能科技有限公司 一种基于uvm的验证平台开发方法、系统、终端及存储介质
CN113866586B (zh) * 2020-06-30 2024-04-12 澜至电子科技(成都)有限公司 一种系统级芯片的验证平台及验证方法
CN113866586A (zh) * 2020-06-30 2021-12-31 澜至电子科技(成都)有限公司 一种系统级芯片的验证平台及验证方法
CN112131827B (zh) * 2020-09-11 2023-03-28 山东云海国创云计算装备产业创新中心有限公司 一种芯片测试方法、系统、设备及存储介质
CN112131827A (zh) * 2020-09-11 2020-12-25 山东云海国创云计算装备产业创新中心有限公司 一种芯片测试方法、系统、设备及存储介质
CN112270149A (zh) * 2020-10-16 2021-01-26 山东云海国创云计算装备产业创新中心有限公司 验证平台自动化集成方法、系统及电子设备和存储介质
CN112270149B (zh) * 2020-10-16 2023-05-23 山东云海国创云计算装备产业创新中心有限公司 验证平台自动化集成方法、系统及电子设备和存储介质
CN112560378B (zh) * 2020-12-23 2023-03-24 苏州易行电子科技有限公司 一种应用于整合完整芯片开发流程的自动化平台
CN112560378A (zh) * 2020-12-23 2021-03-26 苏州易行电子科技有限公司 一种应用于整合完整芯片开发流程的自动化平台
CN116306388A (zh) * 2023-05-23 2023-06-23 苇创微电子(上海)有限公司 一种免除路径连接的自动化uvm验证平台及其搭建方法

Also Published As

Publication number Publication date
CN104657245B (zh) 2017-01-04

Similar Documents

Publication Publication Date Title
CN104657245B (zh) 基于amba总线的模块级uvm验证平台的自动生成装置
Rashinkar et al. System-on-a-chip Verification: Methodology and Techniques
US8682631B2 (en) Specifications-driven platform for analog, mixed-signal, and radio frequency verification
CN102592023B (zh) 集成电路设计过程中的寄存器设计方法及装置
CN104268310B (zh) 使用专用图形界面调用uvm验证环境的方法
CN108038294B (zh) Uvm环境搭建方法和系统
CN109739766A (zh) 一种快速搭建fpga数字仿真模型的系统及方法
Savage et al. IP Reuse in the System on a Chip Era
CN104331546A (zh) 一种航天器用数字定制集成电路后端版图设计评估方法
Sohofi et al. Assertion-based verification for system-level designs
CN112444731B (zh) 芯片测试方法、装置、处理器芯片及服务器
CN108920825A (zh) 一种基于IP核的SoC可视化设计方法
Goli et al. Automatic equivalence checking for SystemC-TLM 2.0 models against their formal specifications
CN104461813A (zh) 利用功能覆盖率负反馈加速uvm验证收敛的方法
CN113343629B (zh) 集成电路验证方法、代码生成方法、系统、设备和介质
US8065641B2 (en) Automatically creating manufacturing test rules pertaining to an electronic component
Bateman et al. Simulation of an integrated design and test environment for mixed signal integrated circuits
CN107526585B (zh) 基于Scala的FPGA开发平台及其调试、测试方法
US9886538B1 (en) System and method for using heterogeneous hierarchical configurations for electronic design reuse
Bakeer et al. Analog, digital and mixed-signal design flows
CN102426335B (zh) Dsp器件测试图形向量的自动生成方法
Lahbib et al. An automatic ABV methodology enabling PSL assertions across SLD flow for SOCs modeled in SystemC
CN106529043A (zh) 基于计算机软件系统对电路进行分模块综合设计的方法
Bhattacharya et al. Advanced verification topics
US20230114858A1 (en) Circuit design simulation and clock event reduction

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant