CN104102757B - 过孔设计系统 - Google Patents

过孔设计系统 Download PDF

Info

Publication number
CN104102757B
CN104102757B CN201310127552.4A CN201310127552A CN104102757B CN 104102757 B CN104102757 B CN 104102757B CN 201310127552 A CN201310127552 A CN 201310127552A CN 104102757 B CN104102757 B CN 104102757B
Authority
CN
China
Prior art keywords
impedance
design
parameter
input
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201310127552.4A
Other languages
English (en)
Other versions
CN104102757A (zh
Inventor
蔡昆宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Scienbizip Consulting Shenzhen Co Ltd
Original Assignee
Scienbizip Consulting Shenzhen Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Scienbizip Consulting Shenzhen Co Ltd filed Critical Scienbizip Consulting Shenzhen Co Ltd
Priority to CN201310127552.4A priority Critical patent/CN104102757B/zh
Publication of CN104102757A publication Critical patent/CN104102757A/zh
Application granted granted Critical
Publication of CN104102757B publication Critical patent/CN104102757B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

本发明提供一种过孔设计系统。该系统包括:设计界面显示模块,用于在计算装置上显示一过孔设计界面,该界面包括参数输入区及结果显示区,参数输入区用于输入欲观察的频率范围、设计频率点、板材介电系数Dk、不包括过孔残段的过孔长度Lvia、过孔残段长度Lstub、过孔的半径r、差分过孔的间距S、避开孔的半径W以及参考阻抗Z0;计算模块,用于根据参数输入区输入的参数以及预设的公式计算出过孔的实际阻抗Zvia及理想阻抗Zc,并根据计算出的实际阻抗Zvia及理想阻抗Zc绘出阻抗比较图;以及输出模块,用于将阻抗比较图输出至结果显示区。采用本发明中的过孔设计系统,响应速度快,并且设计人员根据结果显示区显示的结果能够快速地找出过孔的最佳设计。

Description

过孔设计系统
技术领域
本发明涉及PCB设计领域,特别涉及一种过孔设计系统。
背景技术
对于PCB来讲,保持信号完整性最重要是阻抗的匹配和一致连续性。阻抗不连续会导致差分导线信号的反射,过孔是导致差分导线不连续的重要因素。若能将过孔的阻抗控制得和差分导线阻抗匹配,信号反射就会降低,信号传输的质量就会提高,系统就会稳定工作。因此,在设计PCB时,需先对过孔结构进行模拟设计,以得到最佳的过孔结构。现有技术中通常是采用3D全波电磁软体进行过孔模拟,需要耗费相对长的时间。
发明内容
有鉴于此,本发明提高一种过孔设计系统,以解决上述技术问题。
所述过孔设计系统包括:设计界面显示模块,用于在所述计算装置上显示一过孔设计界面,所述过孔设计界面包括参数输入区及结果显示区,所述参数输入区用于输入欲观察的频率范围、设计频率点、板材介电系数Dk、不包括过孔残段的过孔长度Lvia、过孔残段长度Lstub、过孔的半径r、差分过孔的间距S、避开孔的半径W以及参考阻抗Z0;计算模块,用于根据所述参数输入区输入的参数以及预设的公式计算出过孔的实际阻抗Zvia及理想阻抗Zc,并根据计算出的实际阻抗Zvia及理想阻抗Zc绘出阻抗比较图,其中,Zc=Z02×(sin(θ1+θ2)/sinθ1cosθ2), 其中C为光速;以及输出模块,用于将所述阻抗比较图输出至所述结果显示区。
采用本发明中的过孔设计系统,响应速度快,并且设计人员根据结果显示区显示的结果能够快速地找出过孔的最佳设计。
附图说明
图1为本发明一种实施方式中过孔设计系统的功能模块图。
图2为图1中的过孔设计系统提供的设计界面的示意图。
图3为图1中的过孔设计系统提供的阻抗比较图。
图4为图1中的过孔设计系统提供的散射参数图。
主要元件符号说明
过孔设计系统 100
设计界面显示模块 10
计算模块 20
输出模块 30
设计界面 12
参数输入区 122
结果显示区 124
如下具体实施方式将结合上述附图进一步说明本发明。
具体实施方式
请参考图1,本实施方式中的过孔设计系统100运行于一计算装置(未示出),该系统100包括设计界面显示模块10、计算模块20及输出模块30。
请参考图2,设计界面显示模块10在计算装置的显示装置上显示一过孔设计界面12。该界面12包括一参数输入区122及一结果显示区124。参数输入区122用于输入多种设计参数。在本实施方式中,该多种设计参数包括欲观察的频率范围、设计频率点、板材介电系数Dk、不包括过孔残段的过孔长度Lvia、过孔残段长度Lstub、过孔的半径r、差分过孔的间距S、避开孔的半径W以及参考阻抗Z0。
计算模块20根据输入的多个设计参数以及预设的公式计算出过孔的实际阻抗Zvia及理想阻抗Zc,并根据计算出的实际阻抗Zvia及理想阻抗Zc绘出阻抗比较图(见图3)。其中,Zc=Z02×(sin(θ1+θ2)/sinθ1cosθ2), 其中C为光速。输出模块30用于将阻抗比较图输出至结果显示区124。设计人员根据阻抗比较图可以知晓按照当前输入的各种参数设计的过孔是否为较佳的过孔。当在设计频率点的实际阻抗Zvia与理想阻抗Zc的差值较大时,认为按照当前输入的各种参数设计的过孔不为较佳的过孔。因此,设计人员可以根据阻抗比较图调整参数输入区122的多个参数,直至设计频率点的实际阻抗Zvia接近理想阻抗Zc。
计算模块20还根据输入的多个设计参数及预设的公式计算出输入损耗S21及反射损耗S11,以及根据计算出的输入损耗S21及反射损耗S21绘出散射参数图(见图4)。其中,S21=2/(2cosθ1-sinθ1sinθ2/cosθ2+j(Zc/Z0+Z0/Zc)sinθ1+jZ0/Zc×cosθ1sinθ2/cosθ2,S11=1-|S21|2。输出模块30还将散射参数图输出至结果显示区124。设计人员根据散射参数图可以明确地知道如何修正参数输入区122输入的多个参数,能降低损耗,即知晓降低损耗的设计方向。
计算模块20还根据散射参数图得出共振频率f1及在设计频率点反射损耗S11的损耗值,其中,共振频率f1为所述散射参数图中反射损耗S11最低时的损耗值。输出模块30还将所述共振频率f1及所述损耗值输出至所述结果显示区124。
计算模块20还根据输入的多个设计参数及预设的公式计算出板材的等效介电系数Dkeff,其中,输出模块30还将计算的等效介电系数Dkeff输出至结果显示区124。
采用本实施方式中的过孔设计系统100,响应速度快,并且设计人员根据结果显示区124显示的多种结果能够快速地找出过孔的最佳设计。

Claims (2)

1.一种过孔设计系统,运行于计算装置,其特征在于,所述过孔设计系统包括:
设计界面显示模块,用于在所述计算装置上显示一过孔设计界面,所述过孔设计界面包括参数输入区及结果显示区,所述参数输入区用于输入欲观察的频率范围、设计频率点、板材介电系数Dk、不包括过孔残段的过孔长度Lvia、过孔残段长度Lstub、过孔的半径r、差分过孔的间距S、避开孔的半径W以及参考阻抗Z0;
计算模块,用于根据所述参数输入区输入的参数以及预设的公式计算出过孔的实际阻抗Zvia及理想阻抗Zc,并根据计算出的实际阻抗Zvia及理想阻抗Zc绘出阻抗比较图,其中,Zc=Z02×(sin(θ1+θ2)/sinθ1cosθ2), 其中C为光速;以及
输出模块,用于将所述阻抗比较图输出至所述结果显示区。
2.如权利要求1所述的过孔设计系统,其特征在于,所述计算模块还根据所述参数输入区输入的参数及预设的公式计算出板材的等效介电系数Dkeff,其中 所述输出模块还将计算出的等效介电系数Dkeff输出至所述结果显示区。
CN201310127552.4A 2013-04-15 2013-04-15 过孔设计系统 Expired - Fee Related CN104102757B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310127552.4A CN104102757B (zh) 2013-04-15 2013-04-15 过孔设计系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310127552.4A CN104102757B (zh) 2013-04-15 2013-04-15 过孔设计系统

Publications (2)

Publication Number Publication Date
CN104102757A CN104102757A (zh) 2014-10-15
CN104102757B true CN104102757B (zh) 2017-04-05

Family

ID=51670909

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310127552.4A Expired - Fee Related CN104102757B (zh) 2013-04-15 2013-04-15 过孔设计系统

Country Status (1)

Country Link
CN (1) CN104102757B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105550460B (zh) * 2015-12-30 2019-02-26 迈普通信技术股份有限公司 一种电子线路板布线评估方法及装置
CN107657123B (zh) * 2017-09-29 2021-06-29 郑州云海信息技术有限公司 一种返回路径过孔检视方法及系统
CN112770492B (zh) * 2019-10-18 2022-09-09 恒为科技(上海)股份有限公司 一种高速信号过孔的设计方法、系统及存储介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101937476A (zh) * 2009-06-29 2011-01-05 鸿富锦精密工业(深圳)有限公司 过孔阻抗匹配方法
CN102056404A (zh) * 2010-11-15 2011-05-11 浪潮电子信息产业股份有限公司 一种中和过孔容性的方法
CN102802351A (zh) * 2012-08-13 2012-11-28 深圳英飞拓科技股份有限公司 一种用于pcb设计的过孔削减焊盘及其方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7709747B2 (en) * 2004-11-29 2010-05-04 Fci Matched-impedance surface-mount technology footprints
US7528792B2 (en) * 2005-06-06 2009-05-05 Raytheon Company Reduced inductance interconnect for enhanced microwave and millimeter-wave systems
US8013685B2 (en) * 2006-03-03 2011-09-06 Renesas Electronics Corporation Broadband transition from a via interconnection to a planar transmission line in a multilayer substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101937476A (zh) * 2009-06-29 2011-01-05 鸿富锦精密工业(深圳)有限公司 过孔阻抗匹配方法
CN102056404A (zh) * 2010-11-15 2011-05-11 浪潮电子信息产业股份有限公司 一种中和过孔容性的方法
CN102802351A (zh) * 2012-08-13 2012-11-28 深圳英飞拓科技股份有限公司 一种用于pcb设计的过孔削减焊盘及其方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
高速PCB中的过孔设计研究;侯莹莹等;《电子与封装 》;20090820;第9卷(第8期);第20-23页 *
高速PCB的过孔设计;袁子建等;《电子工艺技术》;20020730;第23卷(第4期);第158-159、163页 *

Also Published As

Publication number Publication date
CN104102757A (zh) 2014-10-15

Similar Documents

Publication Publication Date Title
TWI417008B (zh) 印刷電路板及其共模濾波器
CN104102757B (zh) 过孔设计系统
CN103547064B (zh) 一种射频微波电路板中传输线到器件的匹配连接方法
CN101325274A (zh) 由树枝状结构单元构成的左手材料
TW201621329A (zh) 電磁輻射特性的預測方法、電腦可讀取記錄媒體和模擬器
CN204045707U (zh) 新型和差器
CN104284548B (zh) 麦拉片
US10044085B2 (en) Stretchable transmission lines and circuits for microwave and millimeter wave frequency wearable electronics
CN103108486A (zh) 一种跨层参考降低损耗的设计方法
CN103796424B (zh) 一种多层电路板及其阻抗控制方法
TW201440337A (zh) 過孔設計系統
CN106654492B (zh) 共面波导传输线到微带传输线的过渡传输线及方法
CN106410352B (zh) 一种功率分配器和功率分配器中器件参数的获取方法
CN204560002U (zh) 一种具有高频阻抗的软硬结合板
Del-rey et al. Impedance matching analysis and EMC validation of a low-cost PCB differential interconnect
TWI557990B (zh) Mobile communication device
US9673775B2 (en) Circuit substrate and branch circuit including high pass filter and low pass filter with mounting portions on substrate body
CN203813003U (zh) 一种基于开槽线结构的紧凑型微带平衡滤波器
Zhu et al. Design of coaxial line-to-circular waveguide transitions
JP6859081B2 (ja) ループアンテナ特定方法及びループアンテナ特定装置
US8887385B2 (en) Impedance matching method
CN102800978B (zh) 超材料
CN109782073B (zh) 一种双芯电力线单位长度参数求解方法
TWI455654B (zh) 印刷電路板
CN204560001U (zh) 一种高频阻抗fpc板

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20170126

Address after: 518109 Guangdong province Shenzhen city Longhua District Dragon Road No. 83 wing group building 11 floor

Applicant after: SCIENBIZIP CONSULTING (SHEN ZHEN) CO., LTD.

Address before: 518109 Guangdong city of Shenzhen province Baoan District Longhua Town Industrial Zone tabulaeformis tenth East Ring Road No. 2 two

Applicant before: Hongfujin Precise Industry (Shenzhen) Co., Ltd.

Applicant before: Honghai Precision Industry Co., Ltd.

GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170405

Termination date: 20180415

CF01 Termination of patent right due to non-payment of annual fee