CN103871478B - 嵌入式存储器测试系统 - Google Patents

嵌入式存储器测试系统 Download PDF

Info

Publication number
CN103871478B
CN103871478B CN201310665285.6A CN201310665285A CN103871478B CN 103871478 B CN103871478 B CN 103871478B CN 201310665285 A CN201310665285 A CN 201310665285A CN 103871478 B CN103871478 B CN 103871478B
Authority
CN
China
Prior art keywords
memory
pbist
data
read
random access
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310665285.6A
Other languages
English (en)
Other versions
CN103871478A (zh
Inventor
拉古拉姆·达莫达兰
纳韦恩·布霍里亚
阿曼·科克拉迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of CN103871478A publication Critical patent/CN103871478A/zh
Application granted granted Critical
Publication of CN103871478B publication Critical patent/CN103871478B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/14Implementation of control logic, e.g. test mode decoders
    • G11C29/16Implementation of control logic, e.g. test mode decoders using microprogrammed units, e.g. state machines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318516Test of programmable logic devices [PLDs]
    • G01R31/318519Test of field programmable gate arrays [FPGA]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318555Control logic
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0401Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals in embedded memories
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/36Data generation devices, e.g. data inverters

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)
  • Techniques For Improving Reliability Of Storages (AREA)

Abstract

本申请案涉及一种嵌入式存储器测试系统。本发明涉及一种用于测试嵌入式存储器的可编程内建自测试pBIST系统,其中将需要不同测试条件的多个存储器并入于SOC中。存储测试设置数据的pBIST只读存储器经组织以消除针对类似嵌入式存储器的测试设置数据的多个实例。

Description

嵌入式存储器测试系统
技术领域
本发明的技术领域是高速存储器测试,且更特定来说,涉及一种用于嵌入式存储器的内建自测试(BIST)系统。
背景技术
测试所制作的集成电路以确定恰当操作始终一直是具挑战性的任务,特别是关于板上存储器功能来说。存在由设计缺陷导致的两种主要类型的装置失灵。当按不提供用于既定使用用途的恰当功能的设计规范制造集成电路时,出现设计缺陷。此缺陷影响任何所制造的集成电路直到设计缺陷被校正为止。集成电路制造者在将大量装置装运到客户之前必须检测并校正此类缺陷以避免成本昂贵的召回。相比于设计缺陷,制造缺陷涉及集成电路的制造中的某一故障。制造缺陷一般将影响不足所制造的所有部件。通过识别并校正制造故障来校正此类缺陷。
大多数集成电路制造者在装运到顾客之前测试集成电路是否恰当操作。增加的集成电路复杂性使得此测试越来越困难。并非依赖于越来越昂贵的外部测试装置,许多制造者使用内建自测试(BIST)来测试集成电路。BIST在集成电路上使用经设计而仅仅用以测试集成电路的电路。当在电路操作中自动地或由外部测试装置触发时,BIST电路产生在普通电路硬件上运行的测试条件集。集成电路在测试之后的状态与预期状态的比较指示集成电路是否通过。此测试的实例为向读取/写入存储器写入及重新调用所写入的数据。所写入数据与所读取数据之间的匹配通过所述测试。BIST通常涉及其它更复杂的测试。
BIST的子集是使用由指令集编程的通用测试引擎的可编程内建自测试(pBIST)。此测试指令集通常存储于集成电路上在只读存储器(ROM)中且包含针对所述集成电路特别开发的指令。pBIST使得硬件及测试指令的重新使用能够覆盖一系列的类似但不等同的集成电路。
标题为“基于ROM的存储器测试(ROM-Based Memory Testing)”的第7,324,392号美国专利包含对供在pBIST中使用的示范性指令集的描述。此专利以全文引用的方式并入本文。
在常规VLSI系统中,在三个步骤中完成存储器测试。在第一步骤中,硬连线逻辑(通常可通过第三方供应商获得,实例为memBIST(MBIST))使用在将装置提交到下线之前开发的算法。确定硬连线逻辑的详细构成此时并不可行。不可能预测适当的硬件电路,因为必要的信息在工艺评定窗期间来自工艺模型驱动器。第二,常规存储器测试试图使用基于CPU的技术来缩小测试差距。这些技术具有若干个限制。主要限制是与在大部分地不可存取的存储器功能的CPU接口。不能够进行对所有存储器的背靠背存取是另一严重限制。第三,在于装置呈晶片形式时进行存储器测试期间,无法以完全处理器速度实现直接存储器存取(DMA)外部存储器存取。此可导致不能观察到显著数目的故障。
发明内容
SOC(芯片上系统)通常含有多个存储器。采用pBIST(可编程内建自测试)模块来测试嵌入式存储器。
本发明描述pBIST内的新颖ROM(只读存储器)组织,其减少存储存储器测试及配置信息所需的ROM的量。
附图说明
在图式中图解说明本发明的这些及其它方面,图式中:
图1是构建到现有技术的CPU/存储器功能中的可编程BIST(pBist)单元的框图;
图2是现有技术的pBist控制器的详细框图;
图3是图解说明由以下三个地址分量寻址的现有技术实例性两块存储器的图:列地址;行地址;及块地址;
图4展示具有分布式数据记录的pBIST架构。
具体实施方式
不同装置的SRAM/存储器结构因技术、设计及实施方案而不同。为了有效地测试存储器,存储器测试算法的地址存取型式序列应遵循使存储器内的电结构敏感并测试所述电结构的特定型式。
在简单存储器结构中,物理地址及逻辑地址为邻接且匹配的。可借助使地址线性地递增或递减的简单算法来执行有效测试。在这些存储器中,任何可能的地址置乱均自动地使输入与输出匹配。即,输入的位〈0〉变为输出的位〈0〉,依此类推。
图1图解说明代表性现有技术集成电路(IC):包含可编程内建自测试(pBIST)130的芯片上系统(SOC)装置100。
SOC装置100包含测试起来可能非常复杂的多个模块。SOC100包含通过总线120耦合的中央处理单元(CPU)110以及存储器111及112到119。其它SOC装置可包含多个处理器、存储器与高速缓冲存储器子系统的复杂集合、外围装置及接口、各种类型的存储器存储装置(例如随机存取存储器(RAM)、只读存储器(ROM)及可能地各种类型的可变更存储器或快闪ROM)。
可编程内建自测试单元pBIST130包含pBIST控制器129、pBIST ROM131、ID值接口132、ID比较单元128及外部接口133。pBIST控制器129以CPU 110控制集成电路100的正常操作几乎相同的方式控制SOC测试。pBIST单元130由存储于pBIST ROM131中的测试指令控制。pBIST单元130可经由外部接口133耦合到集成电路100外部的电路。地址经由地址I/O134进入及离开pBist单元130。
pBIST控制器129通过使用pBIST ID(识别)值接口132选择pBIST控制器群组内的特定pBIST控制器。pBIST ID值通常为允许选择多达三十一个pBIST控制器的五位值。
图2是现有技术pBist 130中所包含的功能单元的框图。pBIST单元130包含pBIST控制器129、寄存器221到228、双地址寄存器230、匹配单元232及多输入签名寄存器(MISR)单元233。Addr[15∶0]I/O 134允许pBist地址的输入或输出。
在CPU110的地址空间内对配置寄存器221到228进行存储器映射。因此,CPU 110可通过对对应地址的存储器操作来从任何寄存器221到228读取或向其写入。配置寄存器221到228控制pBIST单元130的配置及操作模式。数据寄存器222存储从pBIST ROM 131重新调用的测试数据。程序寄存器223存储从pBIST ROM 131重新调用的测试程序指令。其它寄存器224包含各种各样的通用寄存器。配置寄存器221包含下文将更充分论述的四个额外寄存器:算法寄存器225、上部RAM信息(RINFOL)寄存器226、下部RAM信息(RINFOU)寄存器227及pBIST ID寄存器228。
算法寄存器225实际上为算法屏蔽寄存器。此寄存器的位[0]指示是否将执行存储于pBIST ROM 131中的第一算法。位[1]指示是否执行第二算法,依此类推。存储于pBistROM 131中的总共32个算法可由算法寄存器225的32位字宽度控制。为执行算法,必须设定算法寄存器225的对应位及先前算法标头中的有效位两者。
RINFOL寄存器226及RINFOU寄存器227为类似于算法寄存器225的群组屏蔽寄存器。RINFOL寄存器226及RINFOU寄存器227指示是否测试特定RAM群组。提供此能力是因为并非所有算法均可在所有存储器上运行。为测试特定RAM群组,必须设定RINFOL寄存器226或RINFOU寄存器227中的对应位及先前RAM群组标头中的有效位两者。RINFOL寄存器226指示RAM群组0到31的有效性且RINFOU寄存器227指示RAM群组32到63的有效性。
pBIST ID寄存器228为存储器映射寄存器,其在编程序列开始时加载有pBIST ID以指定多个pBIST控制器129中的哪一者正由外部测试器或由本地CPU 110编程。在复位后,pBIST寄存器即刻采取值0x0000。当设计SOC集成电路时,经由ID值接口132给每一pBIST控制器129指派唯一ID值输入。此可通过简单地将五位字段系结到高或低参考电压以形成五位ID值来体现。双地址寄存器230用于存取存储器,例如存储器111、112到119。
图3图解说明此处作为可如何使用地址置乱的实例而包含的现有技术装置的存储器读取部分。在图3的实例中,存储器具有N个块,每一块具有M列且每一列具有R行。来自两个存储器块(block_0 300及block_1 301)的输出数据由多路复用器302、303及304选择。block_0 300及block_1 301的三十二个垂直单元中的每一者含有三十二个数据行,每一行含有标示为字节0到3的四个八位字节。
行地址<R-1:0>供应block_0 300及block_1 301的行地址输入且在每一块中从行2R-1到0中选择一行。来自列2M-1到列0的数据从block_0 300输出到多路复用器302。供应到多路复用器302的控制输入的列地址<M-1:0>选择对应列的数据。类似地,来自列2M-1到列0的数据从block_1 301输出到多路复用器303。供应到多路复用器303的控制输入的列地址<M-1:0>选择对应列的数据。多路复用器302及303的输出作为输入供应到多路复用器304。供应到多路复用器304的控制输入的块地址<N-1:0>选择来自对应块的数据以作为数据231输出。
在图3的存储器中:若干位宽的列地址<(M-1):0>在M个列之间选择;若干位宽的块地址<(N-1):0>在存储器库的N个块之间选择;且若干位宽的行地址<(R-1):0>在每一存储器库内部的R个逻辑地址行之间选择。
图3图解说明实例性存储器块的分割。图3的实例性存储器要求SRAM地址具有两位列地址<0>及<1>、两位行A地址<2>及<3>、单位块地址<4>及三位行B地址<5>、<6>及<7>。将供应到存储器的地址划分成这三个区段。以上区域的位置可在不同设计间不同。
图1及2中所图解说明的先前pBIST 130经设计以用于直接线性寻址。行地址为地址最低有效位(LSB)<0>到<4>。列地址为位<5>及<6>。块地址为位<7>。递增通过这些地址将在block_0 300中以循序次序提取来自行0到行31的数据且接着在block_1 301中以循序次序提取来自行32到63的数据。
此线性寻址的第一遍次将寻址block_0 300且继续进行通过所有行地址,从而循序地提取首先所有列0数据且接下来所有列1数据、后续接着列2数据及最终列3数据。此线性寻址的第二遍次将寻址block1 301且继续行进通过所有行地址,从而循序地提取首先所有列0数据且接下来所有列1数据、后续接着列2数据及最终列3数据。
图4中所示范的架构由具有分布式数据记录器系统的单一pBIST组成。每一子芯片并入有与进行控制的pBIST通信的数据记录器。
数据从测试器(VLCT)输入到组合器401以格式化所述数据、接着输入到具有pBIST存储器403的pBIST块402。pBIST 402经由经压缩数据总线与适用子芯片通信且从每一子芯片接收失效及日志信息。以串行方式呈现日志信息以减少连接的数目。
如果子芯片在来自pBIST的不同电压及/或时钟域中操作,那么其可含有异步桥接器405。异步桥接器405连接到通信以控制块407的分布式数据记录器406。块407在将测试型式写入到存储器408之前扩展经压缩数据且接着从存储器408读取结果。在DDL 406中执行预期与实际存储器数据的比较。由于所述比较是在每一子芯片中局部进行的,因此不需要将读取数据返回到pBIST,因此减少连接的数目。
在pBIST实施方案中,必须测试多个嵌入式随机存取存储器(RAM)。每一嵌入式存储器块可能需要不同测试算法及测试条件,且pBIST必须能够取决于所选择的实际存储器而设置测试过程。设置数据可由SOC测试器提供,或其可存储于pBIST只读存储器(ROM)中。
在现有技术中,pBIST ROM针对每一RAM块单独地存储配置数据,如表1中所展示,其中将ROM划分成两个区段。第一位置存储到算法区段的开始的指针(ALGO_PTR),且第二位置存储到RAM群组区段的开始的指针。所述算法区段存储针对选定RAM的测试算法,且RAM群组区段存储测试选定RAM块所需的常数。表2展示Ram群组区段的内容。
针对可存在的每一嵌入式存储器块重复此ROM结构。
表1
表2
本发明消除可由针对每一嵌入式存储器块单独地存储设置常数产生的重复。如表3中所展示,引入称为数据区段的新ROM区段,其将仅存储存储器类型特定信息。在每一Ram群组区段中,仅存储到其中存储常数数据的位置的指针,从而允许针对嵌入式RAM的类似实例重新使用所述数据。
表4展示此实施方案中的Ram群组区段的格式。
表3
表4

Claims (9)

1.一种设置在具有嵌入式存储器测试系统的单个集成电路上的芯片上系统,其包括:
多个具有多种存储器类型的嵌入式随机存取存储器;
只读存储器,其存储用于测试所述多种类型的嵌入式随机存取存储器中的每一种的指令,以及用于测试所述嵌入式随机存取存储器的数据;以及
可编程内建自测试pBIST引擎,其耦合到所述多个嵌入式随机存取存储器中的每一者且耦合到所述只读存储器,所述pBIST引擎可操作以使用存储在所述只读存储器中的对应所述嵌入式随机存取存储器的所述存储器类型的指令,以及存储在所述只读存储器中的数据,来测试所述多个嵌入式随机存取存储器中的每一者。
2.根据权利要求1所述的芯片上系统,其中:
所述只读存储器进一步存储测试所述多个嵌入式随机存取存储器的所述多个存储器类型中的每一个所需的设置条件及常数。
3.根据权利要求2所述的芯片上系统,其中:
所述只读存储器进一步存储用于所述多个嵌入式随机存取存储器的所述多个存储器类型中的每一个的所述设置条件及常数的单一实例。
4.根据权利要求2所述的芯片上系统,其中:
所述只读存储器进一步存储多个存储器地址指针,每个存储器地址指针指向存储所述多个随机存取存储器的所述多个存储器类型中的相应存储器类型的设置条件和常数的位置;以及
所述可编程内建测试系统可操作以通过使用对应选定嵌入式随机存取存储器的所述存储器类型的所述存储器地址指针,来检索用于所述选定嵌入式随机存取存储器的所述设置条件及常数。
5.根据权利要求1所述的芯片上系统,进一步包括
中央处理单元,其可经操作以用于根据所存储的指令执行数据处理功能,所述中央处理单元以不允许背靠背存取所述嵌入式存储器的方式连接到所述多个嵌入式存储器中的至少一个。
6.根据权利要求1所述的芯片上系统,进一步包括:
多个分布式数据记录器,其连接至所述pBIST引擎,且连接至所述多个嵌入式存储器的子集;
所述多个分布式数据记录器中的每一个将从所述pBIST引擎接收到的数据写入到所述嵌入式存储器子集的选定嵌入式存储器;
读取刚刚写入所述选定嵌入式存储器的数据,比较从所述pBIST引擎接收到的数据和从所述选定嵌入式存储器读取的数据;以及
向所述pBIST引擎发送日志信息信号,所述日志信息信号指示从所述pBIST引擎接收到的数据是否匹配从所述选定嵌入式存储器读取的数据。
7.根据权利要求6所述的芯片上系统,其中:
所述pBIST引擎将压缩数据传输到每个数据记录器单元;以及
每个数据记录器单元进一步包括解压缩块,所述解压缩块接收来自所述pBIST引擎的所述压缩数据并在写入所述嵌入式存储器之前对所述压缩数据进行解压缩。
8.根据权利要求6所述的芯片上系统,其中:
每个分布式数据记录器以串行方式传输所述日志信息。
9.根据权利要求6所述的芯片上系统,其中:
所述pBIST引擎在第一电压/时钟域内操作;
特定数据记录器的所述多个嵌入式存储器的子集在不同于所述第一电压/时钟域的第二电压/时钟域内操作;以及
所述特定数据记录器包括异步桥,所述异步桥在所述第一电压/时钟域内从所述pBIST引擎接收数据并在所述第二电压/时钟域内将数据传输到所述多个嵌入式存储器的所述子集。
CN201310665285.6A 2012-12-10 2013-12-10 嵌入式存储器测试系统 Active CN103871478B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/709,188 2012-12-10
US13/709,188 US8930783B2 (en) 2012-12-10 2012-12-10 pBIST read only memory image compression

Publications (2)

Publication Number Publication Date
CN103871478A CN103871478A (zh) 2014-06-18
CN103871478B true CN103871478B (zh) 2018-08-10

Family

ID=50882392

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310665285.6A Active CN103871478B (zh) 2012-12-10 2013-12-10 嵌入式存储器测试系统

Country Status (2)

Country Link
US (1) US8930783B2 (zh)
CN (1) CN103871478B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106448740B (zh) * 2016-10-10 2020-01-24 上海华虹宏力半导体制造有限公司 一种嵌入式闪存提升良率的筛选办法
CN106646204B (zh) * 2016-12-23 2019-02-19 中国电子产品可靠性与环境试验研究所 Fpga存储资源测试系统、方法及装置
CN109102838A (zh) * 2018-07-27 2018-12-28 上海华力集成电路制造有限公司 内建自测试引擎

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5946247A (en) * 1994-05-26 1999-08-31 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory testing device
EP1388788A1 (en) * 2002-08-08 2004-02-11 STMicroelectronics S.r.l. Built-in self test circuit for integrated circuits
US7325178B2 (en) * 2003-12-05 2008-01-29 Texas Instruments Incorporated Programmable built in self test of memory
US7941713B2 (en) * 2008-08-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable self-test for random access memories
CN102789816A (zh) * 2006-03-01 2012-11-21 高通股份有限公司 全速多端口存储器阵列测试方法及设备

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5918003A (en) * 1995-06-07 1999-06-29 International Business Machines Corporation Enhanced built-in self-test circuit and method
US6681354B2 (en) * 2001-01-31 2004-01-20 Stmicroelectronics, Inc. Embedded field programmable gate array for performing built-in self test functions in a system on a chip and method of operation
US20110029827A1 (en) * 2009-07-29 2011-02-03 International Business Machines Corporation Method, apparatus, and design structure for built-in self-test

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5946247A (en) * 1994-05-26 1999-08-31 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory testing device
EP1388788A1 (en) * 2002-08-08 2004-02-11 STMicroelectronics S.r.l. Built-in self test circuit for integrated circuits
US7325178B2 (en) * 2003-12-05 2008-01-29 Texas Instruments Incorporated Programmable built in self test of memory
CN102789816A (zh) * 2006-03-01 2012-11-21 高通股份有限公司 全速多端口存储器阵列测试方法及设备
US7941713B2 (en) * 2008-08-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable self-test for random access memories

Also Published As

Publication number Publication date
CN103871478A (zh) 2014-06-18
US20140164855A1 (en) 2014-06-12
US8930783B2 (en) 2015-01-06

Similar Documents

Publication Publication Date Title
CN103871479B (zh) 嵌入式存储器测试系统
US7284166B2 (en) Programmable multi-mode built-in self-test and self-repair structure for embedded memory arrays
JP3650411B2 (ja) 自動メモリー・テスタのための冗長性アナライザ
KR101498009B1 (ko) 비휘발성 메모리 시스템에서 결함 블록 분리
US20140078841A1 (en) Programmable memory built in self repair circuit
JP4194799B2 (ja) 被試験デバイスの試験中にエラーが生じた場合にテストプログラムのアルゴリズム制御のその時点の状態を回復する方法
JP2003050269A (ja) アルゴリズム的にプログラム可能なメモリテスタにおけるトリガ信号生成方法
CN103871478B (zh) 嵌入式存储器测试系统
US7805644B2 (en) Multiple pBIST controllers
US9202594B2 (en) Device and method to perform a parallel memory test
CN114639433A (zh) 用于嵌入式存储器的面积有效的并行测试数据路径
US20050039073A1 (en) Integrated memory having a circuit for testing the operation of the integrated memory, and method for operating the integrated memory
US20050066226A1 (en) Redundant memory self-test
US20150227461A1 (en) Repairing a memory device
CN103871476B (zh) 嵌入式存储器测试系统
EP1411434A2 (en) A software programmable verification tool having a single built-in self-test (bist) module for testing and debugging multiple memory modules in a device under test (dut)
JP2003509804A (ja) メモリ検査方法
US9009550B2 (en) pBIST engine with distributed data logging
TWI692768B (zh) 能夠驗算記憶體資料之正確性的系統單晶片
US20030084389A1 (en) Method and apparatus for flexible memory for defect tolerance
Mayuga et al. Reliability-enhanced ECC-based memory architecture using in-field self-repair
SOWMYA et al. Design of a High speed Built-in Repair Analyzer for Word-Oriented Memories
EP4275207A1 (en) Logical memory repair with a shared physical memory
JP2024521278A (ja) 共有物理メモリを用いた論理メモリの修復
JPH0745099A (ja) 半導体集積回路およびその検査方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant