CN103857685A - 含钒硅氢加成催化剂及含有该催化剂的组合物 - Google Patents

含钒硅氢加成催化剂及含有该催化剂的组合物 Download PDF

Info

Publication number
CN103857685A
CN103857685A CN201280045778.3A CN201280045778A CN103857685A CN 103857685 A CN103857685 A CN 103857685A CN 201280045778 A CN201280045778 A CN 201280045778A CN 103857685 A CN103857685 A CN 103857685A
Authority
CN
China
Prior art keywords
unit price
organic group
general formula
independently
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201280045778.3A
Other languages
English (en)
Inventor
A·瑟格诺
库尔特·布兰德施塔特
B·T·源
西蒙·库克
明-新·邹
R·泰勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Silicones Corp
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Publication of CN103857685A publication Critical patent/CN103857685A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D413/00Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms
    • C07D413/02Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms containing two hetero rings
    • C07D413/10Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms containing two hetero rings linked by a carbon chain containing aromatic rings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/22Organic complexes
    • B01J31/2282Unsaturated compounds used as ligands
    • B01J31/2295Cyclic compounds, e.g. cyclopentadienyls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0272Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/22Organic complexes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/24Phosphines, i.e. phosphorus bonded to only carbon atoms, or to both carbon and hydrogen atoms, including e.g. sp2-hybridised phosphorus compounds such as phosphabenzene, phosphole or anionic phospholide ligands
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/24Phosphines, i.e. phosphorus bonded to only carbon atoms, or to both carbon and hydrogen atoms, including e.g. sp2-hybridised phosphorus compounds such as phosphabenzene, phosphole or anionic phospholide ligands
    • B01J31/2404Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring
    • B01J31/2409Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring with more than one complexing phosphine-P atom
    • B01J31/2414Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring with more than one complexing phosphine-P atom comprising aliphatic or saturated rings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/24Phosphines, i.e. phosphorus bonded to only carbon atoms, or to both carbon and hydrogen atoms, including e.g. sp2-hybridised phosphorus compounds such as phosphabenzene, phosphole or anionic phospholide ligands
    • B01J31/2404Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring
    • B01J31/2419Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring comprising P as ring member
    • B01J31/2428Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring comprising P as ring member with more than one complexing phosphine-P atom
    • B01J31/2433Cyclic ligands, including e.g. non-condensed polycyclic ligands, the phosphine-P atom being a ring member or a substituent on the ring comprising P as ring member with more than one complexing phosphine-P atom comprising aliphatic or saturated rings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J37/00Processes, in general, for preparing catalysts; Processes, in general, for activation of catalysts
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C209/00Preparation of compounds containing amino groups bound to a carbon skeleton
    • C07C209/66Preparation of compounds containing amino groups bound to a carbon skeleton from or via metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C213/00Preparation of compounds containing amino and hydroxy, amino and etherified hydroxy or amino and esterified hydroxy groups bound to the same carbon skeleton
    • C07C213/08Preparation of compounds containing amino and hydroxy, amino and etherified hydroxy or amino and esterified hydroxy groups bound to the same carbon skeleton by reactions not involving the formation of amino groups, hydroxy groups or etherified or esterified hydroxy groups
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C217/00Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton
    • C07C217/78Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton
    • C07C217/80Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings
    • C07C217/82Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings of the same non-condensed six-membered aromatic ring
    • C07C217/92Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings of the same non-condensed six-membered aromatic ring the nitrogen atom of at least one of the amino groups being further bound to a carbon atom of a six-membered aromatic ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D213/00Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members
    • C07D213/02Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members
    • C07D213/04Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D213/24Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom with substituted hydrocarbon radicals attached to ring carbon atoms
    • C07D213/28Radicals substituted by singly-bound oxygen or sulphur atoms
    • C07D213/32Sulfur atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D213/00Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members
    • C07D213/02Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members
    • C07D213/04Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D213/24Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom with substituted hydrocarbon radicals attached to ring carbon atoms
    • C07D213/36Radicals substituted by singly-bound nitrogen atoms
    • C07D213/38Radicals substituted by singly-bound nitrogen atoms having only hydrogen or hydrocarbon radicals attached to the substituent nitrogen atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D213/00Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members
    • C07D213/02Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members
    • C07D213/04Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D213/24Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom with substituted hydrocarbon radicals attached to ring carbon atoms
    • C07D213/44Radicals substituted by doubly-bound oxygen, sulfur, or nitrogen atoms, or by two such atoms singly-bound to the same carbon atom
    • C07D213/53Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D215/00Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems
    • C07D215/02Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen atoms or carbon atoms directly attached to the ring nitrogen atom
    • C07D215/12Heterocyclic compounds containing quinoline or hydrogenated quinoline ring systems having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen atoms or carbon atoms directly attached to the ring nitrogen atom with substituted hydrocarbon radicals attached to ring carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D271/00Heterocyclic compounds containing five-membered rings having two nitrogen atoms and one oxygen atom as the only ring hetero atoms
    • C07D271/02Heterocyclic compounds containing five-membered rings having two nitrogen atoms and one oxygen atom as the only ring hetero atoms not condensed with other rings
    • C07D271/061,2,4-Oxadiazoles; Hydrogenated 1,2,4-oxadiazoles
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D295/00Heterocyclic compounds containing polymethylene-imine rings with at least five ring members, 3-azabicyclo [3.2.2] nonane, piperazine, morpholine or thiomorpholine rings, having only hydrogen atoms directly attached to the ring carbon atoms
    • C07D295/04Heterocyclic compounds containing polymethylene-imine rings with at least five ring members, 3-azabicyclo [3.2.2] nonane, piperazine, morpholine or thiomorpholine rings, having only hydrogen atoms directly attached to the ring carbon atoms with substituted hydrocarbon radicals attached to ring nitrogen atoms
    • C07D295/12Heterocyclic compounds containing polymethylene-imine rings with at least five ring members, 3-azabicyclo [3.2.2] nonane, piperazine, morpholine or thiomorpholine rings, having only hydrogen atoms directly attached to the ring carbon atoms with substituted hydrocarbon radicals attached to ring nitrogen atoms substituted by singly or doubly bound nitrogen atoms
    • C07D295/135Heterocyclic compounds containing polymethylene-imine rings with at least five ring members, 3-azabicyclo [3.2.2] nonane, piperazine, morpholine or thiomorpholine rings, having only hydrogen atoms directly attached to the ring carbon atoms with substituted hydrocarbon radicals attached to ring nitrogen atoms substituted by singly or doubly bound nitrogen atoms with the ring nitrogen atoms and the substituent nitrogen atoms separated by carbocyclic rings or by carbon chains interrupted by carbocyclic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • C07D307/02Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings
    • C07D307/34Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having two or three double bonds between ring members or between ring members and non-ring members
    • C07D307/38Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom not condensed with other rings having two or three double bonds between ring members or between ring members and non-ring members with substituted hydrocarbon radicals attached to ring carbon atoms
    • C07D307/52Radicals substituted by nitrogen atoms not forming part of a nitro radical
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/02Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • C07D333/04Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings not substituted on the ring sulphur atom
    • C07D333/06Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings not substituted on the ring sulphur atom with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to the ring carbon atoms
    • C07D333/22Radicals substituted by doubly bound hetero atoms, or by two hetero atoms other than halogen singly bound to the same carbon atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D413/00Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms
    • C07D413/14Heterocyclic compounds containing two or more hetero rings, at least one ring having nitrogen and oxygen atoms as the only ring hetero atoms containing three or more hetero rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic System
    • C07F1/005Compounds containing elements of Groups 1 or 11 of the Periodic System without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic System
    • C07F1/08Copper compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic System
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic System compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F13/00Compounds containing elements of Groups 7 or 17 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F13/00Compounds containing elements of Groups 7 or 17 of the Periodic System
    • C07F13/005Compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/0006Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System compounds of the platinum group
    • C07F15/0033Iridium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/0006Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System compounds of the platinum group
    • C07F15/0046Ruthenium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/02Iron compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/06Cobalt compounds
    • C07F15/065Cobalt compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F3/00Compounds containing elements of Groups 2 or 12 of the Periodic System
    • C07F3/06Zinc compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0803Compounds with Si-C or Si-Si linkages
    • C07F7/0805Compounds with Si-C or Si-Si linkages comprising only Si, C or H atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • C07F7/0872Preparation and treatment thereof
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • C07F7/0872Preparation and treatment thereof
    • C07F7/0876Reactions involving the formation of bonds to a Si atom of a Si-O-Si sequence other than a bond of the Si-O-Si linkage
    • C07F7/0878Si-C bond
    • C07F7/0879Hydrosilylation reactions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • C07F7/0872Preparation and treatment thereof
    • C07F7/0889Reactions not involving the Si atom of the Si-O-Si sequence
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0896Compounds with a Si-H linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/1876Preparation; Treatments not provided for in C07F7/20 by reactions involving the formation of Si-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/02Phosphorus compounds
    • C07F9/28Phosphorus compounds with one or more P—C bonds
    • C07F9/50Organo-phosphines
    • C07F9/5045Complexes or chelates of phosphines with metallic compounds or metals
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/02Phosphorus compounds
    • C07F9/547Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom
    • C07F9/553Heterocyclic compounds, e.g. containing phosphorus as a ring hetero atom having one nitrogen atom as the only ring hetero atom
    • C07F9/576Six-membered rings
    • C07F9/60Quinoline or hydrogenated quinoline ring systems
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/06Preparatory processes
    • C08G77/08Preparatory processes characterised by the catalysts used
    • GPHYSICS
    • G07CHECKING-DEVICES
    • G07FCOIN-FREED OR LIKE APPARATUS
    • G07F13/00Coin-freed apparatus for controlling dispensing or fluids, semiliquids or granular material from reservoirs
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2231/00Catalytic reactions performed with catalysts classified in B01J31/00
    • B01J2231/30Addition reactions at carbon centres, i.e. to either C-C or C-X multiple bonds
    • B01J2231/32Addition reactions to C=C or C-C triple bonds
    • B01J2231/323Hydrometalation, e.g. bor-, alumin-, silyl-, zirconation or analoguous reactions like carbometalation, hydrocarbation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/10Complexes comprising metals of Group I (IA or IB) as the central metal
    • B01J2531/16Copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/10Complexes comprising metals of Group I (IA or IB) as the central metal
    • B01J2531/17Silver
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/40Complexes comprising metals of Group IV (IVA or IVB) as the central metal
    • B01J2531/46Titanium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/40Complexes comprising metals of Group IV (IVA or IVB) as the central metal
    • B01J2531/49Hafnium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/50Complexes comprising metals of Group V (VA or VB) as the central metal
    • B01J2531/56Vanadium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/60Complexes comprising metals of Group VI (VIA or VIB) as the central metal
    • B01J2531/64Molybdenum
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/70Complexes comprising metals of Group VII (VIIB) as the central metal
    • B01J2531/74Rhenium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/80Complexes comprising metals of Group VIII as the central metal
    • B01J2531/82Metals of the platinum group
    • B01J2531/821Ruthenium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/80Complexes comprising metals of Group VIII as the central metal
    • B01J2531/82Metals of the platinum group
    • B01J2531/827Iridium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/80Complexes comprising metals of Group VIII as the central metal
    • B01J2531/84Metals of the iron group
    • B01J2531/842Iron
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/80Complexes comprising metals of Group VIII as the central metal
    • B01J2531/84Metals of the iron group
    • B01J2531/845Cobalt
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/80Complexes comprising metals of Group VIII as the central metal
    • B01J2531/84Metals of the iron group
    • B01J2531/847Nickel
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere

Abstract

本发明公开了一种组合物,所述组合物含有(A)硅氢加成反应催化剂和(B)脂族不饱和化合物,所述脂族不饱和化合物平均每分子具有一个或多个能够进行硅氢加成反应的脂族不饱和有机基团。所述组合物能够通过硅氢加成反应来反应而形成反应产物,如硅烷、树胶、凝胶、橡胶或树脂。成分(A)含有金属-配体络合物,所述金属-配体络合物可以通过包括使金属前体与配体反应的方法来制备。

Description

含钒硅氢加成催化剂及含有该催化剂的组合物
用于催化硅氢加成反应的催化剂是本领域已知的并且可商购获得的。这些常规的硅氢加成催化剂可以是选自铂、铑、钌、钯、锇和铱的金属。或者,硅氢加成催化剂可以是这种金属的化合物,例如氯铂酸、六水合氯铂酸、二氯化铂,以及所述化合物与低分子量有机聚硅氧烷的络合物或微封装于基质或芯/壳型结构中的铂化合物。铂与低分子量有机聚硅氧烷的络合物包括1,3-二乙烯基-1,1,3,3-四甲基二硅氧烷与铂的络合物。这些络合物可以微封装于树脂基质中。示例性的硅氢加成催化剂在美国专利3,159,601、3,220,972、3,296,291、3,419,593、3,516,946、3,814,730、3,989,668、4,784,879、5,036,117和5,175,325以及EP0347895B中描述。微封装的硅氢加成催化剂以及制备它们的方法是本领域已知的,如美国专利4,766,176和5,017,654中所举例说明的。 
这些硅氢加成催化剂存在的缺点是极其昂贵。这些硅氢加成催化剂中的某些金属也可能难以获得,并且这些硅氢加成催化剂中的某些可能难以制备。在工业中需要将上述的常规硅氢加成催化剂替换为不太昂贵和/或更易得的替代物。 
发明内容
公开了包含钒前体(V前体)和配体的成分的反应产物,以及制备所述反应产物的方法。能够经由硅氢加成反应形成反应产物的组合物包含所述反应产物以及平均每分子具有一个或多个能够进行硅氢加成反应的脂族不饱和有机基团的脂族不饱和化合物。当所述脂族不饱和化合物缺乏硅键合的氢原子时,则所述组合物还包含平均每分子具有一个或多个硅键合的氢原子的SiH官能化合物。 
具体实施方式
除非另外指明,否则所有数量、比率和百分比均按重量计。除非本说明书的上下文另外指明,否则冠词“一个”、“一种”和“所述”各指一个(一种)或多个(多种)。范围的公开内容包括范围本身以及其中所包含的任何值以及端点。例如,范围2.0至4.0的公开内容不仅包括范围2.0至4.0,而且还单独地包括2.1、2.3、3.4、3.5和4.0以及该范围中所包含的任何其他数字。此外,例如2.0至4.0的范围的公开内容包括子集例如2.1至3.5、2.3至3.4、2.6至3.7以及3.8至4.0以及该范围中所包含的任何其他子集。类似地,马库什群组(Markush group)的公开内容包括整个群组以及任何单独成员及其中所包含的子群。例如,马库什群组氢原子、烷基、芳基或芳烷基的公开内容包括单独的成员烷基;烷基和芳基子群;以及其中所包含的任何其他单独成员和子群。 
“烷基”意指无环、支链或非支链的饱和单价烃基。烷基的例子有但不限于甲基、乙基、丙基(如异丙基和/或正丙基)、丁基(如异丁基、正丁基、叔丁基和/或仲丁基)、戊基(如异戊基、新戊基和/或叔戊基)、己基、庚基、辛基、壬基和癸基,以及带6个或更多个碳原子的支链饱和单价烃基。 
“芳基”意指环状的完全不饱和烃基。芳基的例子有但不限于环戊二烯基、苯基、蒽基以及萘基。单环芳基可具有5至9个碳原子、或6至7个碳原子以及或者5至6个碳原子。多环芳基可具有10至17个碳原子、或10至14个碳原子以及或者12至14个碳原子。 
“芳烷基”意指具有侧链芳基和/或末端芳基的烷基或具有侧链烷基的芳基。示例性芳烷基包括甲苯基、二甲苯基、苄基、苯乙基、苯基丙基和苯基丁基。 
“碳环”和“碳环的”各自意指烃环。碳环可以是单环的或者可以是稠合的多环、桥联的多环或螺合的多环。单环碳环可具有3至9个碳原子、或4至7个碳原子以及或者5至6个碳原子。多环碳环可具有7至17个碳原子、或7至14个碳原子以及或者9至10个碳原子。碳环可以是饱和的或部分不饱和的。 
“环烷基”意指饱和碳环。单环环烷基的示例为环丁基、环戊基和环己基。 
“卤化烃”意指其中键合到碳原子的一个或多个氢原子已在形式上被卤素原子取代的烃。卤化烃基包括卤代烷基、卤化碳环基和卤代烯基。卤代烷基包括氟化烷基,例如三氟甲基(CF3)、氟甲基、三氟乙基、2-氟丙基、3,3,3-三氟丙基、4,4,4-三氟丁基、4,4,4,3,3-五氟丁基、5,5,5,4,4,3,3-七氟戊基、6,6,6,5,5,4,4,3,3-九氟己基和8,8,8,7,7-五氟辛基;以及氯化烷基如氯甲基和3-氯丙基。卤化碳环基团包括氟化环烷基如2,2-二氟环丙基、2,3-二氟环丁基、3,4-二氟环己基和3,4-二氟-5-甲基环庚基;和氯化环烷基如2,2-二氯环丙基、2,3-二氯环戊基。卤代烯基包括烯丙基氯。 
“杂原子”意指 
http://www.iupac.org/fileadmin/user_upload/news/IUPAC_Periodic_Table-1Jun12.pdf的IUPAC元素周期表第13-17族元素的任一者,碳除外。“杂原子”包括例如N、O、P、S、Br、Cl、F和I。 
“含杂原子基团”意指由碳原子构成并且还包括至少一个杂原子的有机基团。含杂原子基团可包括例如酰基、酰胺、胺、羧基、氰基、环氧基、烃氧基、亚氨基、酮、酮肟、巯基、肟和/或硫醇中的一者或多者。例如,当含杂原子基团含有一个或多个卤素原子时,则含杂原子基团可以是如上所定义的卤化烃基。或者,当杂原子为氧时,则含杂原子基团可以是烃氧基如烷氧基或烷基烷氧基。 
“无机含杂原子基团”意指由至少1个杂原子以及至少1个氢或不同杂原子构成的基团。含杂原子基团可包括例如胺、羟基、亚氨基、硝基、氧代基、磺酰基和/或硫醇中的一者或多者。 
“杂烷基”意指还包含至少一个杂原子的无环、支链或非支链的饱和单价烃基。“杂烷基”包括卤代烷基和其中至少一个碳原子已被诸如N、O、P或S之类的杂原子代替的烷基,例如当杂原子为O时,该杂烷基可以是烷氧基。 
“杂环”和“杂环的”各自意指由环中的碳原子和一个或多个杂原子构成的环基团。杂环中的杂原子可以是N、O、P、S或它们的组合。杂环可以是单环的或者可以是稠合的多环、桥联的多环或螺合的多环。单环杂环可在环中具有3至9个成员原子、或4至7个成员原子以及或者5至6个成员原子。多环杂环可具有7至17个成员原子、或7至14个成员原子以及或者9至10个成员原子。杂环可以是饱和的或部分不饱和的。 
“杂芳族”意指由环中的碳原子和一个或多个杂原子构成的含完全饱和环的基团。单环杂芳族基团可以具有5至9个成员原子、或6至7个成员原子以及或者5至6个成员原子。多环杂芳族基团可具有10至17个成员原子、或10至14个成员原子以及或者12至14个成员原子。杂芳族包括杂芳基如吡啶基。杂芳族包括杂芳烷基,即具有侧链杂芳基和/或末端杂芳基的烷基或具有侧链烷基的杂芳基。示例性的杂芳烷基包括甲基吡啶基和二甲基吡啶基。 
本文所用的缩写定义如下。缩写“cP”意指厘泊,“cSt”意指厘沲。“DP”意指聚合度。“FTIR”意指傅里叶变换红外光谱。“GC”意指气相色谱法。“GPC”意指凝胶渗透色谱法。“Mn”意指数均分子量。Mn可以使用GPC来测量。“Mw”意指重均分子量。“NMR”意指核磁共振。“Pa·s”意指帕斯卡·秒,并且“ppm”意指百万分率。“COD”意指环辛二烯基。“Et”意指乙基。“Me”意指甲基。“Ph”意指苯基。“Pr”意指丙基并包括诸如iPr和nPr之类的多种结构。“iPr”意指异丙基。“nPr”意指正丙基。“Bu”意指丁基并包括囊括nBu、仲丁基、tBu和iBu的多种结构。“iBu”意指异丁基。“nBu”意指正丁基。“tBu”意指叔丁基。“AcAc”意指乙酰基丙酮络合物。“2-EHA”意指2-乙基己酸酯。“OAc”意指乙酸酯。“Hex”意指己烯基。“THF”意指四氢呋喃。“Vi”意指乙烯基。 
“M-单元”意指具有式R3SiO1/2的硅氧烷单元,其中每个R独立地表示单价原子或有机基团。“D-单元”意指具有式R2SiO2/2的硅氧烷单元,其中每个R独立地表示单价原子或基团。“T-单元”意指具有式RSiO3/2的硅氧烷单元,其中每个R独立地表示单价原子或基团。“Q-单元”意指具有式SiO4/2的硅氧烷单元。 
“非官能化的”意指成分不具有参与硅氢加成反应的脂族不饱和取代基或硅键合的氢原子。 
“不含”意指组合物含有的成分的量无法检出,或者组合物含有的成分的量不足以相较于省去该成分的相同组合物改变如实例部分中所描述而测得的GC测量结果。例如,本文所述的组合物可以不含铂催化剂。“不含铂催化剂”意指组合物含有的能够催化与该组合物中其他成分上的不饱和基团的硅氢加成反应的铂催化剂的量无法检出,或者该组合物含有的铂 催化剂的量不足以相较于省去该铂催化剂的相同组合物改变如实例部分中所述而测得的GC测量结果。所述组合物可以不含常规的金属催化剂。“不含常规的金属催化剂”意指组合物含有的能够催化与该组合物中其他成分上的不饱和基团的硅氢加成反应的选自Pt、Rh、Ru、Pd、Os和Ir的金属或这种金属的化合物的量无法检出,或者组合物含有的常规金属催化剂的量不足以相较于省去该常规金属催化剂的相同组合物改变如实例部分中所述而测得的GC测量结果。或者,本文所述的组合物可以不含硅氢加成反应催化剂(即不含除本文所述的成分(A)以外的能够催化下文所述的成分(B)上的脂族不饱和基团的硅氢加成反应的任何成分)。 
具有至少一种能够通过硅氢加成反应进行反应的成分的组合物(组合物)包含: 
(A)含V硅氢加成反应催化剂,和 
(B)脂族不饱和化合物,所述脂族不饱和化合物平均每分子具有一个 
或多个能够进行硅氢加成反应的脂族不饱和有机基团。 
不希望受理论所束缚,认为该含V硅氢加成反应催化剂可表征为能够有效催化该组合物的硅氢加成反应。该组合物的硅氢加成反应可制备反应产物。该反应产物可以具有选自以下的形式:硅烷、树胶、凝胶、橡胶和树脂。 
当成分(B)不含有硅键合的氢原子时,则该组合物还包含成分(C)SiH官能化合物,所述SiH官能化合物平均每分子具有一个或多个硅键合的氢原子,并且不同于成分(A)和(B)。 
该组合物可任选还包含一种或多种另外的成分,所述一种或多种另外的成分不同于上述成分(A)、成分(B)和成分(C)。合适的另外的成分的例子有(D)隔离物;(E)增量剂、增塑剂或它们的组合;(F)填料;(G)填料处理剂;(H)杀生物剂;(I)稳定剂;(J)阻燃剂;(K)表面改性剂;(L)增链剂;(M)封端剂;(N)助熔剂;(O)抗老化添加剂;(P)颜料;(Q)酸受体;(R)流变添加剂;(S)媒介物;(T)表面活性剂;(U)腐蚀抑制剂;以及它们的组合。 
成分(A)是含V硅氢加成反应催化剂。该含V硅氢加成反应催化剂包含V前体与配体的反应产物或用V前体与配体的反应产物来制备。不希望受理论所束缚,认为这种反应产物包含V-配体络合物。V前体不同于V-配体络合物。V前体不同于V前体与配体的反应产物。 
V前体可以是具有通式(i)的金属化合物:V-A3,其中每个A独立地为可置换的取代基。不希望受理论所束缚,认为A的一个或多个实体可以被配体从V上置换而形成V-配体络合物。不希望受理论所束缚,认为基团A的一个或多个实体(instance)通过V前体与配体之间的络合反应被置换而形成V-配体络合物。当下标x大于1时,则通式(i)中A的每个实体可以相同或不同。A的例子包括卤素原子和单价有机基团。单价有机基团可以是单价烃基或单价含杂原子基团。单价含杂原子基团的例子有氨基、卤化烃基、硅氮烷基、羧酸根基(carboxylate group)、羧酸酯基、羰基、烃氧基、磺酸酯基、磺酰亚胺基、乙酸根基(acetate group)以及氰基。 
用于通式(i)中A的卤素原子的例子包括Br、Cl或I。用于A的单价卤化烃基的例子包括卤代烷基,例如氟化烷基如CF3、氟甲基、三氟乙基、2-氟丙基、3,3,3-三氟丙基、4,4,4-三氟丁基、4,4,4,3,3-五氟丁基、5,5,5,4,4,3,3-七氟戊基、6,6,6,5,5,4,4,3,3-九氟己基和8,8,8,7,7-五氟辛基;以及氯化烷基如氯甲基和3-氯丙基;卤化碳环基,如氟化环烷基如2,2-二氟环丙基、2,3-二氟环丁基、3,4-二氟环己基和3,4-二氟-5-甲基环庚基;以及氯化环烷基,如2,2-二氯环丙基、2,3-二氯环戊基;以及卤代烯基,如烯丙基氯。 
用于通式(i)中A的单价烃基的例子包括但不限于烷基、烯基、碳环基、芳基和芳烷基。烷基的例子有Me、Et、Pr、Bu、戊基、己基、庚基、乙基己基、辛基、癸基、十二烷基、十一烷基和十八烷基。烯基的例子有Vi、烯丙基、丙烯基和Hex。碳环基的例子有饱和碳环基,例如环烷基如环戊基和环己基;或不饱和碳环基,例如环烯基如环戊二烯基、环己烯基或环辛二烯基。芳基的例子有Ph、甲苯基、二甲苯基、均三甲苯基和萘基。芳烷基的例子有苄基和2-苯乙基。 
用于通式(i)中A的氨基的例子具有式–NA’2,其中每个A’独立地为氢原子或单价烃基。用于A'的示例性单价烃基包括但不限于烷基如Me、Et、Pr、Bu、戊基、己基、庚基、乙基己基、辛基、癸基、十二烷基、十一烷基和十八烷基;烯基,如乙烯基、烯丙基、丙烯基和Hex;碳环基,例子有饱和碳环基(例如环烷基,如环戊基和环己基),或不饱和碳环基(如环戊二烯基或环辛二烯基);芳基,如Ph、甲苯基、二甲苯基、均三 甲苯基和萘基;和芳烷基,如苄基或2-苯乙基。或者,每个A'可以是氢原子或带1至4个碳原子的烷基,如Me或Et。 
或者,通式(i)中的各A可以是硅氮烷基团。 
或者,通式(i)中的各A可以是羧酸酯基团。适用于A的羧酸酯基的例子包括但不限于OAc、乙基己酸酯(如2-EHA)、新癸酸酯、辛酸酯和硬脂酸酯。 
用于通式(i)中A的单价烃氧基的例子可以具有式-O-A",其中A"是单价烃基。用于A"的单价烃基的例子包括但不限于烷基,例如Me、Et、Pr、Bu、戊基、己基、庚基、乙基己基、辛基、癸基、十二烷基、十一烷基和十八烷基;烯基,如Vi、烯丙基、丙烯基和Hex;环烷基,如环戊基和环己基;芳基,如Ph、甲苯基、二甲苯基和萘基;芳烷基,如苄基或2-苯乙基。或者,每个A"可以是烷基,如Me、Et、nPr、iPr、nBu、iBu或tBu。或者,每个A"可以是烷基,并且或者,每个A"可以是Et、Pr(如iPr或nPr)或Bu。 
或者,通式(i)中的每个A可以是烷基,如Me、Et、nPr、iPr、nBu、iBu或tBu。或者,每个A可以独立地选自Et、苄基、均三甲苯基、Ph、NEt2、NMe2、环辛二烯、乙醇盐(ethoxide)、iPr、Bu、2-EHA、乙氧基、丙氧基、甲氧基和羰基。 
或者,V前体可以是可商购获得的化合物,如下面表1中示出的那些。 
表1–V前体
Figure BDA0000479552660000071
在表1中,“盖勒斯特公司(Gelest)”是指美国宾夕法尼亚州莫里斯维尔市的盖勒斯特公司(Morrisville,Pennsylvania,U.S.A.),“思特莱姆公司(Strem)”是指美国马萨诸塞州纽伯里波特市的思特莱姆化学品公司(Strem Chemicals Inc.,Newburyport,Massachusetts,U.S.A.)。 
配体是与V形成配位键的有机化合物。在本文的通式中,单价有机基团可以是单价烃基或单价含杂原子基团。单价烃基的例子包括但不限于烷基如Me、Et、Pr、Bu、戊基或己基;烯基如乙烯基、烯丙基、丙烯基和己烯基;碳环基,例子有饱和碳环基(例如环烷基,如环戊基和环己基),或不饱和碳环基(如环戊二烯基或环辛二烯基);芳基,如Ph和萘基;芳烷基,如苄基、甲苯基、二甲苯基、均三甲苯基或2-苯乙基。 
通式中单价含杂原子基团的例子包括卤化烃基或烃氧基。单价卤化烃基的例子包括卤代烷基,如氟化烷基,例如CF3、氟甲基、三氟乙基、2-氟丙基、3,3,3-三氟丙基和4,4,4-三氟丁基;以及氯化烷基如氯甲基。烃氧基的例子包括烷氧基和芳烷基氧基。烷氧基的例子有OMe、OEt、OPr和OBu;或者OMe。芳烷基氧基的例子为苯基甲氧基和苯基乙氧基。或者,单价含杂原子基团可以是具有一个或多个键合至环中的碳原子的取代基的芳基或芳烷基,其中所述取代基中的一者或多者含有杂原子,例如上述芳烷基氧基或诸如 
之类的基团,其中*表示连接点。 
配体可具有通式(ii):
Figure BDA0000479552660000082
在通式(ii)中,Q1和Q2各自独立地选自O和S。 
在通式(ii)中,A1和A2独立地选自H和单价有机基团,前提条件是如果Q1和Q2均为S则A1和A2不是叔丁基或苯基。 
在通式(ii)中,下标b是0至3、或0至2、或0至1的整数以及或者整数0。A3选自卤素、无机含杂原子基团和单价有机基团。或者,当Q1和Q2是O时,A1和A2是烷基,如Me、Et、Pr或Bu,或者Bu。或者,当Q1和Q2是S时,则A1和A2是芳烷基,如甲苯基、二甲苯基或均三甲苯基,或者二甲苯基。通式(ii)的配体的例子包括2927和6870。 
或者,配体可以具有通式(iii):
在通式(iii)中,Q11选自N、O、P和S。Q12和Q13各自独立地选自O和S。 
在通式(iii)中,A11、A14和A15各自独立地选自H和单价有机基团。 
在通式(iii)中,下标c是0至4、或0至3、或0至2以及或者0至1的整数。下标d是0至4、或0至3、或0至2以及或者0至1的整数。每个A12以及每个A13独立地选自单价有机基团、无机含杂原子基团和卤素。单价有机基团可以是单价烃基例如烷基,如Me、Et、Pr或Bu,或者Bu。通式(iii)的配体的例子包括3500和3505。 
或者,配体可以具有通式(iv):
Figure BDA0000479552660000092
在通式(iv)中,Q23选自O和S。 
在通式(iv)中,A24和A25各自独立地选自H和单价有机基团,前提条件是A24不是二异丙基苯。单价有机基团的例子包括单价烃基如烷基,例如Me、Et、Pr或Bu。 
在通式(iv)中,下标e是0至4、或0至3、或0至2、或0至1的整数以及或者整数0。下标f是0至5、或0至4、或0至3、或0至2、或0至 1的整数以及或者整数0。每个A22以及每个A23独立地选自单价有机基团和卤素。 
或者,Q23可以是S。或者,A25可以是H。或者,A24可以是烷基。通式(iv)的配体的例子包括配体3544。 
或者,配体可以具有通式(v):
Figure BDA0000479552660000102
在通式(v)中,下标g、h和i每一者独立地为0至4、或0至3、或0至2以及或者0至1的整数。每个A31、每个A33以及每个A35独立地为单价有机基团,前提条件是A35不是Me,并且前提条件是A33的两个或更多个实体可形成稠合的环结构,该稠合的环结构可以是杂芳族基团或杂环基团。 
在通式(v)中,A32和A34各自独立地选自氢和单价有机基团。单价有机基团可以是单价烃基如烷基,例如Me、Et、Pr或Bu。或者,A32可以是H并且A34可以是烷基。通式(v)的配体的例子包括配体788和805。 
或者,配体可具有通式(vi): 
Figure BDA0000479552660000103
在通式(vi)中,A41是单价有机基团。A42选自H和单价有机基团。或者,A42是H。下标j是0至3、或0至2以及或者0至1的整数。每个A43独立地为单价有机基团或卤素。A44选自单价有机基团或卤素,前提条件是A44不是甲基或三氟甲基。或者,A44是Cl。下标k是0至4、或0至3、或0至2、或0至1的整数以及或者整数0。每个A45选自卤素和单价有机基团。通式(vi)的配体的例子包括配体487。 
或者,配体可以具有通式(vii):
Figure BDA0000479552660000111
在通式(vii)中,Q51和Q52独立地选自O和S。下标n是0至5、或0至4、或0至3、或0至2、或0至1的整数以及或者整数0。下标o是0至5、或0至4、或0至3、或0至2、或0至1的整数以及或者整数0。下标p是0至3、或0至2、或0至1的整数以及或者整数0。每个A51、A52和A53各自独立地为卤素或单价有机基团。通式(vii)的配体的例子包括2921。 
或者,配体可以具有通式(viii):
Figure BDA0000479552660000112
在通式(viii)中,A61、A62、A63和A64各自独立地选自氢、单价有机基团和卤素。或者,A61、A62、A63和/或A64可以是H或单价有机基团。单价有机基团可以是单价烃基例如烷基,如Me、Et、Pr和Bu。 
在通式(viii)中,A65是二价直链脂族有机基团,例如亚烷基如亚乙基。或者,A61与A62和/或A63与A64可独立地组合而形成环结构。通式(viii)的配体的例子包括配体10396。 
或者,配体可具有通式(ix):
Figure BDA0000479552660000121
在通式(ix)中,A71和A72各自独立地选自H、单价有机基团,前提条件是A71与A72可以在环基团如杂环基团中接合在一起。 
在通式(ix)中,A73选自H、单价有机基团和卤素。 
在通式(ix)中,下标q是0至4的整数。下标r是0至4的整数。每个A74以及每个A75独立地选自单价有机基团和卤素。 
在通式(ix)中,A76选自H、卤素和单价有机基团,前提条件是A76不是CN。通式(ix)的配体的例子包括1547和3191。 
或者,配体可以具有通式(x):
在通式(x)中,A81、A82和A83各自独立地选自H、单价有机基团和卤素。 
在通式(x)中,下标t是0至4、或0至3、或0至2以及或者0至1的整数。每个A84独立地选自单价有机基团和卤素。单价有机基团可以是单价烃基例如烷基,如Me、Et、Pr或Bu;或者Me。通式(x)的配体的例子包括配体1430。 
或者,配体可以具有通式(xi):
Figure BDA0000479552660000123
在通式(xi)中,下标u是0至3、或0至2、以及或者0至1、以及或者0。每个A91独立地选自单价有机基团和卤素。单价有机基团可以是单价烃基或单价含杂原子基团。单价烃基可以是烷基。单价含杂原子基团可以是烃氧基如烷氧基。 
在通式(xi)中,A92选自H、卤素原子、单价无机含杂原子基团和单价有机基团。或者,A92是H。 
在通式(xi)中,A93是H、单价有机基团,前提条件是其不是苯酚或五氟苯。A93的单价有机基团可以是单价烃基或单价含杂原子基团。单价烃基可以是芳基如Ph或者芳烷基如甲苯基、二甲苯基或均三甲苯基。单价含杂原子基团可以是烃氧基如烷氧基。 
在通式(xi)中,A94是H或单价有机基团。单价有机基团可以是单价烃基或单价含杂原子基团。单价烃基可以是烷基。单价含杂原子基团可以是烃氧基如烷氧基。或者,A94是H。 
在通式(xi)中,A95是H、单价有机基团,前提条件是它不是硝基。或者H,或者甲氧基,或者叔丁基。通式(xi)的配体的例子包括2075、2272和5177。 
或者,配体可具有通式(xii):
Figure BDA0000479552660000131
在通式(xii)中,下标v是0至4、或0至3、或0至2以及或者0至1的整数。每个A100独立地选自卤素和单价有机基团,前提条件是A100不是tBu。 
在通式(xii)中,下标w是0至4、或0至3、或0至2以及或者0至1的整数。每个A101独立地选自卤素和单价有机基团,前提条件是A101不是叔丁基。A100和/或A101的单价有机基团可以是单价烃基,如烷基、芳基和芳烷基。或者,A101可以是芳基。或者,A100可以是烷基或芳基。通式(xii)的配体的例子包括6372。 
或者,配体可以具有通式(xiii):
Figure BDA0000479552660000141
在通式(xiii)中,Q111是O或S。A111选自H、单价有机基团和卤素。每个A112以及每个A113独立地选自单价有机基团和卤素。下标x是0至3、或0至2以及或者0至1的整数。下标y是0至3、或0至2以及或者0至1的整数。通式(xiii)的配体的例子包括6269。 
或者,配体可具有通式(xiv):
Figure BDA0000479552660000142
在通式(xiv)中,A115和A116各自独立地选自H、单价有机基团和卤素。下标z是0至5、或0至4、或0至3、或0至2以及或者0至1的整数。下标aa是0至5、或0至4、或0至3、或0至2以及或者0至1的整数。每个A117以及每个A118独立地选自单价有机基团和卤素。 
或者,用于A115、A116、A117和/或A118的单价有机基团可以是单价烃基,例如烷基,如Me、Et、Pr或Bu;或者Bu。通式(xiv)的配体的例子包括配体7471。 
或者,配体可具有通式(xv):
Figure BDA0000479552660000143
在通式(xv)中,Q121选自O和S。或者,Q121是O。A121和A122独立地选自H、单价有机基团或卤素。下标bb是0至3、或0至2以及或者0至1的整数。每个A123独立地为单价有机基团或卤素。A124选自H、单价有 机基团或卤素,前提条件是A124不是苯基。通式(xv)的配体的例子包括7534。 
或者,配体可以具有通式(xvi):
在通式(xvi)中,A131和A132各自独立地选自H、单价有机基团和卤素。或者,A131和/或A132的单价有机基团可以是单价烃基,例如芳基,如Ph。 
在通式(xvi)中,A133和A134各自独立地选自H、单价有机基团和卤素。或者,A133和/或A134的单价有机基团可以是单价烃基,例如烷基,如Me、Et、Pr或Bu;或者Pr。 
在通式(xvi)中,下标cc是0至4、或0至3、或0至2以及或者0至1的整数,以及或者整数0。每个A135独立地选自单价有机基团和卤素。通式(xvi)的配体的例子包括10407。 
或者,配体可具有通式(xvii):
Figure BDA0000479552660000152
在通式(xvii)中,A141和A142独立地选自H和单价有机基团,或者,A141和/或A142的单价有机基团可以是单价烃基,例如芳基如Ph,或者芳烷基如甲苯基、二甲苯基或均三甲苯基。 
在通式(xvii)中,下标dd是0至7、或0至6、或0至5、或0至4、或0至3、或0至2以及或者0至1的整数,以及或者整数0。每个A143独立地为单价有机基团或卤素。通式(xvii)的配体的例子包括3472和4098。 
或者,配体可具有通式(xviii):
Figure BDA0000479552660000161
在通式(xviii)中,A151和A154各自独立地选自H、单价有机基团和卤素,前提条件是A151不是苯基。 
在通式(xviii)中,下标ee是0至4、或0至3、或0至2以及或者0至1的整数,以及或者整数0。下标ff是0至5、或0至4、或0至3、或0至2以及或者0至1的整数,以及或者整数0。每个A152以及每个A153独立地选自单价有机基团和卤素,前提条件是A152不能是三氟甲基。通式(xviii)的配体的例子包括配体1483和3746。 
或者,配体可以具有通式(xix):
在通式(xix)中,Q161独立地选自S和C,并且Q162独立地选自N和C。或者,Q161和Q162是C或S。或者,Q162是N或C。或者,Q161是S。虚线表示双键或单键,这取决于选择用于Q161和Q162的原子。 
在通式(xix)中,A161、A162、A163独立地选自氢和单价有机基团。或者,A161可以是芳基或芳烷基氧基。或者,A162可以是烷基或芳基。或者,A163可以是烷基或碳环基。 
在通式(xix)中,A164和A165独立地为氢或单价有机基团。或者,A164可以是H或烷基。通式(xix)的配体的例子包括配体9042和9072。 
或者,配体可具有通式(xx):
Figure BDA0000479552660000163
在通式(xx)中,A171、A172、A173和A174各自独立地选自H、单价有机基团和卤素。或者,A171和A172可以是芳基如Ph或者芳烷如甲苯基、二甲苯基或均三甲苯基。 或者,A173和A174可以是烷基如Me、Et、Pr或Bu;或者Me。通式(xx)的配体的例子包括1936和2956。 
或者,配体可以具有通式(xxi):
Figure BDA0000479552660000171
在通式(xxi)中,A181、A182、A183和A184各自独立地选自H、单价有机基团和卤素。或者,A181、A182、A183和/或A184的单价有机基团可以是单价烃基,例如碳环基如环己基或烷基如Me、Et、Pr或Bu;或者Bu。 
在通式(xxi)中,A185和A186各自独立地为二价有机基团。该二价有机基团可以是二价烃基如亚烷基。 
在通式(xxi)中,Q181、Q182和Q183各自独立地选自N和P。或者,Q181和Q182是P并且Q183是N。或者,Q181、Q182和Q183各自是N。通式(xxi)的配体的例子包括10150、10394和10395。 
或者,配体可以具有通式(xxii):
Figure BDA0000479552660000172
在通式(xxii)中,A191、A192、A193和A194各自独立地选自H和单价有机基团,前提条件是A191、A192、A193和A194不是叔丁基、均三甲苯基或二异丙基苯,并且前提条件是A192与A193可以键合在一起而形成环基,如5元杂环基或杂芳基。或者,A191、A192、A193和/或A194的单价有机基团可以是单价烃基,例如烷基,如Me、Et或Pr;或者Pr,但是前提条件是该烷基不是tBu。 
在通式(xxii)中,AN-是阴离子、或Cl-、或BF4-。通式(xxii)的配体的例子包括配体10450和10451。 
或者,配体可以具有通式(xxiii): 
Figure BDA0000479552660000181
在通式(xxiii)中,Q185是O或S。A204、A205、A206和A207各自独立地选自H、单价有机基团、卤素和无机含杂原子基团。单价有机基团可以是单价烃基,如烷基、芳基或芳烷基。 
在通式(xxiii)中,下标hh是0至3、或0至2以及或者0至1的整数,以及或者整数0。下标ii是0至3、或0至2以及或者0至1的整数,以及或者整数0。每个A202以及每个A203独立地选自单价有机基团、卤素和无机含杂原子基团。 
A201是二价有机基团或不存在,例如当无A201时,该配体的例子有配体10403。或者,当A201是二价有机基团时,该二价有机基团可以是烃基如亚烷基。通式(xxiii)的配体的例子包括10403、10405和10406。 
或者,配体可具有通式(xiv):
Figure BDA0000479552660000182
在通式(xxiv)中,A211和A212各自独立地选自H、单价有机基团和卤素。或者,单价有机基团可以是单价烃基如烷基或芳烷基。或者,A211是H。或者,A212是芳烷基。 
在通式(xxiv)中,下标gg是0至5、或0至4、或0至3、或0至2以及或者0至1的整数,以及或者整数0。每个A213选自单价有机基团或卤素。通式(xxiv)的配体的例子包括3179。 
或者,配体可具有通式(xxv):
Figure BDA0000479552660000191
在通式(xxv)中,Q200是O或S。A220、A221和A222各自独立地选自H、单价有机基团、卤素和无机含杂原子基团。单价有机基团可以是单价烃基,如烷基、芳基或芳烷基。 
在通式(xxv)中,下标ss是0至4、或0至3、或0至2以及或者0至1的整数,以及或者0。下标rr是0至5、或0至4、或0至3、或0至2以及或者0至1的整数,以及或者整数0。下标tt是0至5、或0至4、或0至3、或0至2以及或者0至1的整数,以及或者整数0。每个A223、每个A224以及每个A225独立地为单价有机基团。单价有机基团可以是单价烃基,如烷基、芳基或芳烷基。通式(xxv)的配体的例子包括3749。 
示例性配体的中性形式示于表2中。或者,用于制备成分(A)的配体可以是下表2中所示的配体中的一者。 
Figure BDA0000479552660000192
Figure BDA0000479552660000201
Figure BDA0000479552660000211
Figure BDA0000479552660000221
Figure BDA0000479552660000231
Figure BDA0000479552660000241
本文和上表中有用的多种配体是可商购获得的(例如,可商购自例如以下的供应商:美国加利福尼亚州圣地亚哥市的美国定制化学公司(American Custom Chemical Corporation,San Diego,California,U.S.A.);美国马萨诸塞州沃德山市的阿法埃莎公司(Alfa Aesar,Ward Hill,Massachusetts,U.S.A.);法国巴黎的埃敏塔公司(Ambinter,Paris,France);美国康涅狄格州纽因顿的艾尚药物研究有限责任公司(Anthem Pharmaceutical Research LLC,Newington,Connecticut,U.S.A.);美国加利福尼亚州圣地亚哥市的恒桥公司(ChemBridge Corporation,San Diego,California,U.S.A.);美国加利福尼亚州圣地亚哥市的康比乐公司(Combi-Blocks,San Diego,California,U.S.A.);美 国宾夕法尼亚州莫里斯维尔的盖勒斯特公司(Gelest,Inc.,Morrisville,Pennsylvania,U.S.A.);美国加利福尼亚州圣佩德罗的因特奇姆公司(Interchim,Inc.,San Pedro,California,U.S.A.);比利时的美桥化学有限公司(Maybridge Chemical Co.,Ltd.,Belgium);美国新泽西州普林斯顿的普林斯顿生物分子研究公司(Princeton Biomolecular Research,Inc.,Princeton,New Jersey,U.S.A.);美国密苏里州圣路易斯市的西格玛-奥德里奇公司;美国马萨诸塞州纽伯里波特市的思特莱姆化学品公司;美国俄勒冈州波特兰的梯希爱美国公司(TCI America,Portland,Oregon,U.S.A.);以及美国宾夕法尼亚州拉德诺的VWR国际有限责任公司(VWR International,LLC,Radnor,Pennsylvania,U.S.A.))和/或可以使用常规的有机化学合成方法来制备。 
成分(A)可以通过包括将上述的配体与V前体合并的方法来制备。该方法可任选还包括以下步骤:在将V前体与配体合并之前,将V前体或配体或这二者溶解于溶剂中。合适的溶剂的例子有下文针对成分(S)所述的那些。或者,可将配体溶解于容器中的溶剂中,之后可以移除溶剂,然后将V前体添加至容纳配体的容器中。对配体和V前体的量进行选择以使得配体与V前体的摩尔比(金属:配体比率)可以在10:1至1:10、或2:1至1:2、或1:1至1:4、以及或者1:1至1:2的范围内。可以通过任何适宜的手段将V前体与配体合并,例如将它们在容器中混合在一起或振荡该容器。 
使V前体与配体反应可以通过在任何便利的条件下,例如让如上所述制备的V前体和配体在-80℃至200℃、或25℃的室温(RT)下反应一段时间、通过加热、或它们的组合来进行。可以在例如高于25℃至200℃、或高于25℃至75℃下进行加热。加热可通过任何便利的手段,例如通过加热套、加热线圈或将容器置于烘箱中来进行。络合反应温度取决于包括所选择的具体V前体和配体的反应性以及金属:配体比率在内的多种因素,然而,温度可以在25℃至200℃、或25℃至75℃的范围内。络合反应时间取决于包括所选择的反应温度在内的多种因素,然而,络合反应时间通常可以在1秒(s)至48小时(h)、或1分钟(min)至30小时(h)、以及或者45分钟至15小时的范围内。可以依序将配体与V前体合并并加热。或者,可以同时将配体与V前体合并并加热。 
制备成分(A)的催化活性反应产物的方法还可包括活化如上所述制备的反应产物。活化反应产物可以通过将上述反应产物与还原剂合并来还原 V-配体络合物中的金属原子的形式氧化态来进行。可以与反应产物合并的还原剂的例子包括碱金属汞齐;氢气、金属氢化物,如氢化锂铝(LiAlH4)或萘基钠;甲硅烷基氢化物(其除此之外或作为替代还可以是下文所述的所有或一部分硅烷交联剂);或金属硼氢化物,如三乙基硼氢化钠(NaEt3BH)、三乙基硼氢化锂(LiEt3BH)或硼氢化钠(NaBH4)。合适的还原剂包括Chem.Rev.(《化学评论》)、1996,96,877-910中所述的那些。 
或者,可以通过包括将上述反应产物与离子活化剂合并的方法来活化上述反应产物。用于这种方法中的离子活化剂的例子包括碳硼烷,如Li+[CB11H6Br6]-、Li+[CB9H5Br5]-、Li+[CB11H10Br2]-以及Li+[CB9H8Br2]-、NH4+[CB11H6Br6]-、NH4+[CB9H5Br5]-、NH4+[CB11H10Br2]-、NH4+[CB9H8Br2]-、Na+[CB11H6Br6]-、Na+[CB9H5Br5]-、Na+[CB11H10Br2]-和Na+[CB9H8Br2]-;或金属硼酸盐,如四(五氟苯基)硼酸锂(LiBArF)、四(3,5-三氟甲基)苯基硼酸锂、四(3,5-三氟甲基)苯基硼酸钠或它们的混合物。 
或者,可以通过包括将上述反应产物与中性活化剂合并的方法来活化上述还原产物。用于这种方法中的中性活化剂的例子包括三(五氟苯基)硼烷和三(五氟苯基)烷基(tris(pentafluorophenyl)akylane)。 
制备成分(A)的催化活性反应产物的方法可任选还包括在反应后添加溶剂。合适的溶剂的例子有下文针对成分(S)所述的那些。或者,该方法可任选还包括移除反应副产物和/或溶剂(如果存在溶剂(例如,用于促进V前体与配体在络合反应之前或期间的合并)的话)。副产物包括例如H-A(其中A如上文在通式(i)中所定义的)或由在配体与V前体反应时可置换取代基发生反应而脱离V前体所产生的任何物质。可以通过任何便利的手段,例如在加热下或在真空下进行汽提或蒸馏、和/或过滤、结晶或它们的组合来移除副产物。所得的分离的V-配体络合物可以被用作成分(A)的催化活性反应产物。 
或者,在将催化活性反应产物用作成分(A)前不将反应副产物移除。例如,可在将溶剂移除或不将溶剂移除以及进行活化或不进行活化的情况下如上所述使配体与V前体反应,并可将所得的反应产物(包含V-配体络合物和反应副产物以及任选的溶剂或稀释剂)用作成分(A)。不希望受理论所束缚,据认为除V-配体络合物之外,副产物也可以充当硅氢加成反应催化剂,或充当助催化剂或活化剂。因此,反应产物可催化硅氢加成反应。 
组合物可含有一种单一催化剂。或者,该组合物可以包含在上文被描述为成分(A)的两种或更多种催化剂,其中该两种或更多种催化剂在至少一种性质如配体的选择、前体的选择、金属:配体比率以及通式(i)中基团A的定义方面有所不同。该组合物可以不含铂催化剂。或者,该组合物可以不含常规的金属催化剂。或者,该组合物可以不含除成分(A)以外的能够催化成分(B)上的不饱和基团的硅氢加成反应的任何V化合物。或者,该组合物可以不含除成分(A)以外的硅氢加成反应催化剂。或者,该组合物可以不含除成分(A)以外的能够催化成分(B)上的不饱和基团的硅氢加成反应的任何成分。 
成分(A)以催化有效量存在于组合物中。确切的量取决于多种因素,包括成分(A)的反应性、成分(B)的类型和量以及任何另外的成分(若存在的话)的类型和量。然而,以组合物中所有成分的总重量计,组合物中成分(A)的量可以在1百万分率(ppm)至5%、或0.1%至2%、以及或者1ppm至1%的范围内。 
成分(B)为脂族不饱和化合物,所述脂族不饱和化合物平均每分子具有一个或多个能够进行硅氢加成反应的脂族不饱和有机基团。或者,成分(B)每分子可平均具有两个或更多个脂族不饱和有机基团。脂族不饱和有机基团可为烯基,所述烯基的例子有但不限于乙烯基、烯丙基、丙烯基、丁烯基和己烯基。不饱和有机基团可为炔基,所述炔基的例子有但不限于乙炔基、丙炔基和丁炔基。 
该组合物的成分(B)可为不饱和烃,其中不饱和基团能够通过硅氢加成反应来反应。成分(B)可为单体。例如,适用于成分(B)的脂族不饱和有机化合物包括但不限于烯烃,如乙烯、丙烯、1-丁烯、2-丁烯、1-戊烯、1-己烯、1-庚烯;卤化烯烃,如烯丙基氯;二烯,如二乙烯基苯、丁二烯、1,5-已二烯和1-丁烯-3-炔;环烯,如环己烯和环庚烯;以及炔烃,如乙炔、丙炔和1-己炔。 
也可以将含氧脂族不饱和化合物用于成分(B),例如其中不饱和基团是烯键式不饱和基团,如乙烯基环己基环氧化物、烯丙基缩水甘油基醚、甲基乙烯基醚、二乙烯基醚、苯基乙烯基醚、乙二醇的单烯丙基醚、烯丙醛、甲基乙烯基酮、苯基乙烯基酮、丙烯酸、甲基丙烯酸、丙烯酸甲酯、 丙烯酸烯丙酯、甲基丙烯酸甲酯、甲基丙烯酸烯丙酯、乙烯基乙酸、乙酸乙烯酯和亚麻酸。 
在环中含有脂族不饱和基团的杂环化合物(如二氢呋喃和二氢吡喃)也适用作成分(B)。含有氮取代基的不饱和化合物(如丙烯腈、N-乙烯基吡咯烷酮、烷基氰、硝基乙烯)也适合用作成分(B)。 
或者,组合物的成分(B)包含聚合物。成分(B)可以包含平均每分子具有一个或多个能够进行硅氢加成反应的脂族不饱和有机基团的基础聚合物。成分(B)可以包含上述多种化合物的聚合物(例如共聚物或三聚物),前提条件是存在至少一个能够进行硅氢加成反应的脂族不饱和基团。例子包括衍生自具有2至20个碳原子的烯烃单体和具有4至20个碳原子的二烯的聚合物;单烯烃、异单烯烃和乙烯基芳族单体的聚合物,如具有2至20个碳基团的单烯烃、具有4至20个碳基团的异单烯烃以及包括苯乙烯、对烷基苯乙烯、对甲基苯乙烯在内的乙烯基芳族单体的聚合物。或者,这些化合物可以是聚(二烯)。大部分衍生自二烯的聚合物通常在主链或侧链上含有不饱和烯键式单元。代表性例子包括聚丁二烯、聚异戊二烯、聚亚丁烯、聚(烷基-亚丁烯基)(其中烷基包括具有1至20个碳原子的烷基)、聚(苯基-亚丁烯)、聚亚戊烯基、天然橡胶(一种形式的聚异戊二烯);以及丁基橡胶(异丁烯与异戊二烯的共聚物)。 
或者,成分(B)可以包含具有脂族不饱和基团的卤化烯烃聚合物。具有脂族不饱和基团的卤化烯烃聚合物的代表性例子包括通过对异单烯烃与对甲基苯乙烯的共聚物进行溴化以引入苄基卤素所产生的聚合物、卤化聚丁二烯、卤化聚异丁烯、聚(2-氯-1,3-丁二烯)、聚氯丁二烯(85%反式)、聚(1-氯-1-亚丁烯基)
Figure BDA0000479552660000281
以及氯磺化聚乙烯。 
或者,成分(B)可包含含有上述其他化合物(如乙烯醚基团、丙烯酸酯基团、甲基丙烯酸酯基团和环氧官能团)的聚合物。 
或者,成分(B)可包含具有脂族不饱和基团的硅烷。或者,该硅烷可具有通式R35 xxSiR36 (4-xx),其中下标xx为1至4、或1至3的整数以及或者整数1。R35是脂族不饱和有机基团,并且R36选自H、卤素原子和单价有机基团。 
或者,成分(B)可包含具有含有脂族不饱和基团的直链、支链、环状或树脂结构的含硅基础聚合物。或者,基础聚合物可具有直链和/或支链结 构。或者,基础聚合物可具有树脂结构。基础聚合物可为均聚物或共聚物。成分(B)可为一种基础聚合物。或者,成分(B)可包含两种或更多种基础聚合物,所述两种或更多种基础聚合物在下述性质中至少有一项是不同的:结构、粘度、平均分子量、硅氧烷单元和顺序。基础聚合物中的脂族不饱和有机基团可位于末端位置、侧链位置或末端位置和侧链位置二者。 
成分(B)的基础聚合物中剩下的硅键合的有机基团可为不含脂族不饱和基团的单价有机基团。单价烃基的例子包括但不限于烷基,如Me、Et、Pr、Bu、戊基、己基、庚基、辛基、癸基、十二烷基、十一烷基和十八烷基环烷基,如环戊基和环己基;芳基,如Ph、甲苯基、二甲苯基和萘基;以及芳烷基,如苄基、1-苯乙基和2-苯乙基。单价卤化烃基的例子包括但不限于:氯化烷基,如氯甲基和氯丙基;氟化烷基,如氟甲基、2-氟丙基、3,3,3-三氟丙基、4,4,4-三氟丁基、4,4,4,3,3-五氟丁基、5,5,5,4,4,3,3-七氟戊基、6,6,6,5,5,4,4,3,3-九氟己基和8,8,8,7,7-五氟辛基;氯化环烷基,如2,2-二氯环丙基、2,3-二氯环戊基;以及氟化环烷基,如2,2-二氟环丙基、2,3-二氟环丁基、3,4-二氟环己基和3,4-二氟-5-甲基环庚基。其他单价有机基团的例子包括但不限于:被氧原子取代的烃基如缩水甘油氧基烷基,和被氮原子取代的烃基如氨基烷基以及氰基官能团如氰乙基和氰丙基。 
成分(B)可以包含 
式(I):R1 2R2SiO(R1 2SiO)a(R1R2SiO)bSiR1 2R2聚二有机硅氧烷, 
式(II):R1 3SiO(R1 2SiO)c(R1R2SiO)dSiR1 3聚二有机硅氧烷, 
或它们的组合。 
在式(I)和(II)中,每个R1独立地为氢原子或不含脂族不饱和基团的单价有机基团并且每个R2独立地为脂族不饱和有机基团,其例子为以上描述的那些。下标a可以是0或正数。或者,下标a具有至少2的平均值。或者,下标a可具有2至2000范围内的值。下标b可以是0或正数。或者,下标b可具有在0至2000范围内的平均值。下标c可以是0或正数。或者,下标c可具有在0至2000范围内的平均值。下标d具有至少2的平均值。或者,下标d可具有在2至2000范围内的平均值。适于R1的单价有机基团为如上针对成分(B)所述的。或者,每个R1是单价烃基,其例子有 烷基如Me,以及芳基如Ph。每个R2独立地为如上针对成分(B)所述的脂族不饱和单价有机基团。或者,R2的例子有烯基,如乙烯基、烯丙基、丁烯基和己烯基;以及炔基,如乙炔基和丙炔基。 
成分(B)可包含聚二有机硅氧烷,如 
i)二甲基乙烯基甲硅烷氧基封端的聚二甲基硅氧烷, 
ii)二甲基乙烯基甲硅烷氧基封端的聚(二甲基硅氧烷/甲基乙烯基硅氧烷), 
iii)二甲基乙烯基甲硅烷氧基封端的聚甲基乙烯基硅氧烷, 
iv)三甲基甲硅烷氧基封端的聚(二甲基硅氧烷/甲基乙烯基硅氧烷), 
v)三甲基甲硅烷氧基封端的聚甲基乙烯基硅氧烷, 
vi)二甲基乙烯基甲硅烷氧基封端的聚(二甲基硅氧烷/甲基乙烯基硅氧烷), 
vii)二甲基乙烯基甲硅烷氧基封端的聚(二甲基硅氧烷/甲基苯基硅氧烷), 
viii)二甲基乙烯基甲硅烷氧基封端的聚(二甲基硅氧烷/二苯基硅氧烷), 
ix)苯基,甲基,乙烯基-甲硅烷氧基封端的聚二甲基硅氧烷, 
x)二甲基己烯基甲硅烷氧基封端的聚二甲基硅氧烷, 
xi)二甲基己烯基甲硅烷氧基封端的聚(二甲基硅氧烷/甲基己烯基硅氧烷), 
xii)二甲基己烯基甲硅烷氧基封端的聚甲基己烯基硅氧烷, 
xiii)三甲基甲硅烷氧基封端的聚(二甲基硅氧烷/甲基己烯基硅氧烷), 
xiv)三甲基甲硅烷氧基封端的聚甲基己烯基硅氧烷, 
xv)二甲基己烯基甲硅烷氧基封端的聚(二甲基硅氧烷/甲基己烯基硅氧烷), 
xvi)二甲基乙烯基甲硅烷氧基封端的聚(二甲基硅氧烷/甲基己烯基硅氧烷), 
xvii)它们的组合。 
适于用作成分(B)的聚二有机硅氧烷流体的制备方法,例如,对应有机卤代硅烷的水解和缩合或环状聚二有机硅氧烷的平衡化,是本领域熟知的。 
除上述聚二有机硅氧烷之外或作为上述聚二有机硅氧烷的替代,成分(B)还可包含树脂,如基本上由R3 3SiO1/2单元和SiO4/2单元组成的MQ树脂,基本上由R3SiO3/2单元和R3 2SiO2/2单元组成的TD树脂,基本上由R3 3SiO1/2单元和R3SiO3/2单元组成的MT树脂,基本上由R3 3SiO1/2单元、R3SiO3/2单元和R3 2SiO2/2单元组成的MTD树脂或它们的组合。 
每个R3为单价有机基团,其例子有以上针对成分(B)所述的那些。或者,由R3表示的单价有机基团可具有1至20个碳原子。或者,R3的单价有机基团的例子包括但不限于单价烃基和单价卤化烃基。 
树脂可含有平均3摩尔%至30摩尔%的脂族不饱和有机基团、或0.1摩尔%至30摩尔%、或0.1摩尔%至5摩尔%、或3摩尔%至100摩尔%的脂族不饱和有机基团。脂族不饱和有机基团可为烯基、炔基或它们的组合。树脂中脂族不饱和有机基团的摩尔%为树脂中含不饱和基团的硅氧烷单元的摩尔数与树脂中硅氧烷单元的总摩尔数的比率乘以100。 
树脂的制备方法是本领域熟知的。例如,可以通过使用至少一种含烯基的封端剂处理由Daudt等人所述的二氧化硅水溶胶封端方法生成的树脂共聚物来制备树脂。Daudt等人所述的方法在美国专利2,676,182中公开。 
Daudt等人的方法涉及使二氧化硅水溶胶在酸性条件下与可水解的三有机硅烷(如三甲基氯硅烷)、硅氧烷(如六甲基二硅氧烷)或它们的混合物反应,以及回收具有M单元和Q单元的共聚物。所得的共聚物通常含有2至5重量%的羟基。 
该树脂(通常包含小于2%的硅键合的羟基)可通过如下方法制备:使Daudt等人所述的产物与含不饱和有机基团的封端剂和不含脂族不饱和基团的封端剂以足以在最终产物中提供3至30摩尔%的不饱和有机基团的量反应。封端剂的例子包括但不限于硅氮烷、硅氧烷和硅烷。合适的封端剂是本领域已知的,并且示例于美国专利4,584,355、4,591,622和4,585,836中。单一封端剂或此类试剂的混合物可以用于制备所述树脂。 
或者,成分(B)可包含除上述聚有机硅氧烷以外的含硅基础聚合物。例如,适用于成分(B)的其他化合物包括硅氮烷和/或含有由诸如亚烷基或聚 亚烷基或亚芳基之类的烃基接合在一起的硅原子的聚合物材料。可用作成分(B)的硅改性的有机化合物包括具有至少一个连接成硅烷或硅氧烷链段的硅原子的有机聚合物。含硅单元可以含有脂族不饱和基团并且可以连接于有机聚合物链上的末端位置和/或侧链位置上或者连接成共聚物。用于成分(B)的其他代表性的硅改性有机聚合物的例子有但不限于烯基甲硅烷氧基官能聚合物,如乙烯基甲硅烷氧基有机聚合物、烯丙基甲硅烷氧基有机聚合物和己烯基甲硅烷氧基有机聚合物以及硅氧烷有机嵌段共聚物。硅烷改性的有机聚合物的例子是衍生自烯烃、异单烯烃、二烯、环氧乙烷或环氧丙烷以及具有2至20个碳原子的乙烯基芳族单体的甲硅烷基化聚合物,如异单烯烃与乙烯基芳族单体的硅烷接枝共聚物。 
上文所述的硅改性的有机聚合物的例子包括乙烯基甲硅烷氧基封端的聚(二甲基硅氧烷/烃基)共聚物或己烯基甲硅烷氧基封端的聚(二甲基硅氧烷/烃基)共聚物;乙烯基甲硅烷氧基封端的聚(二甲基硅氧烷/聚氧化烯)嵌段共聚物或己烯基甲硅烷氧基封端的聚(二甲基硅氧烷/聚氧化烯)嵌段共聚物;烯氧基二甲基甲硅烷氧基封端的聚异丁烯和烯氧基二甲基甲硅烷氧基封端的聚二甲基硅氧烷/聚异丁烯嵌段共聚物。适用于成分(B)的化合物的例子可以见于例如WO2003/093369中。 
组合物中成分(B)的量取决于包括以下各项在内的多种因素:组合物的反应产物的所需形式、成分(B)的脂族不饱和基团的量和硅氢加成反应性、成分(A)的类型和量以及成分(B)和/或成分(C)的硅键合的氢原子的含量。然而,以组合物中所有成分的重量计,成分(B)的量可以在0.1%至99.9%的范围内。 
组合物中的成分(C)是SiH官能化合物,即平均每分子具有一个或多个硅键合氢原子的化合物。成分(C)可以包含硅烷和/或有机氢硅化合物。或者,成分(C)可平均每分子具有至少两个硅键合的氢原子。组合物中成分(C)的量取决于包括以下各项在内的多种因素:成分(C)的SiH含量、成分(B)的不饱和基团含量以及所需的组合物的反应产物的性质,然而,成分(C)的量可以足以提供0.3:1至5:1、或0.1:10至10:1范围内的成分(C)中的SiH基团与成分(B)中的脂族不饱和有机基团的摩尔比(通常被称为SiH:Vi比率)。成分(C)可具有单体或聚合结构。当成分(C)具有聚合结构时,该聚合结构可为直链、支链、环状或树脂结构。当成分(C)为聚合物时,则成分 (C)可为均聚物或共聚物。成分(C)中硅键合的氢原子可以位于末端位置、侧链位置或末端位置和侧链位置二者。成分(C)可为一种SiH官能化合物。或者,成分(C)可包含两种或更多种SiH官能化合物的组合。成分(C)可为两种或更多种有机氢聚硅氧烷,所述两种或更多种有机氢聚硅氧烷在下述性质中至少有一项是不同的:结构、平均分子量、粘度、硅氧烷单元和序列。 
成分(C)可包含式R4 eSiHf的硅烷,其中下标e为0、1、2或3;下标f为1、2、3或4,前提条件是(e+f)之和为4。每个R4独立地为卤素原子或单价有机基团。R4的合适卤素原子的例子有氯、氟、溴和碘;或者氯。R4的合适单价有机基团包括但不限于单价烃基和单价卤化烃基。单价烃基包括但不限于烷基,如Me、Et、Pr、Bu、戊基、己基、庚基、辛基、癸基、十二烷基、十一烷基和十八烷基;环烷基,如环戊基和环己基;芳基,如Ph、甲苯基、二甲苯基和萘基;以及芳烷基,如苄基、1-苯乙基和2-苯乙基。单价卤化烃基的例子包括但不限于:氯化烷基,如氯甲基和氯丙基;氟化烷基,如氟甲基、2-氟丙基、3,3,3-三氟丙基、4,4,4-三氟丁基、4,4,4,3,3-五氟丁基、5,5,5,4,4,3,3-七氟戊基、6,6,6,5,5,4,4,3,3-九氟己基和8,8,8,7,7-五氟辛基;氯化环烷基,如2,2-二氯环丙基、2,3-二氯环戊基;以及氟化环烷基,如2,2-二氟环丙基、2,3-二氟环丁基、3,4-二氟环己基和3,4-二氟-5-甲基环庚基。其他单价有机基团的例子包括但不限于:被氧原子取代的烃基如缩水甘油氧基烷基,和烷氧基如甲氧基、乙氧基、丙氧基和丁氧基;和被氮原子取代的烃基如氨基烷基;以及氰基官能团如氰乙基和氰丙基。成分(C)的合适硅烷的例子有三氯硅烷(HSiCl3)、Me2HSiCl或MeHSi(OMe)2。 
或者,成分(C)有机氢硅化合物可以包含具有硅氧烷单元的聚有机氢硅氧烷,所述硅氧烷单元包括但不限于HR5 2SiO1/2、R5 3SiO1/2、HR5SiO2/2、R5 2SiO2/2、R5SiO3/2、HSiO3/2和SiO4/2单元。在前述式中,每个R5独立地选自上述不含脂族不饱和基团的单价有机基团。 
成分(C)可包含下式的聚有机氢硅氧烷: 
式(III):R5 3SiO(R5 2SiO)g(R5HSiO)hSiR5 3, 
式(IV):R5 2HSiO(R5 2SiO)i(R5HSiO)jSiR5 2H,或者 它们的组合。 
在上式(III)和(IV)中,下标g具有在0至2000范围内的平均值,下标h具有在2至2000范围内的平均值,下标i具有在0至2000范围内的平均值,并且下标j具有在0至2000范围内的平均值。每个R5独立地为上述的单价有机基团。 
成分(C)的聚有机氢硅氧烷的例子有: 
a)二甲基氢甲硅烷氧基封端的聚二甲基硅氧烷, 
b)二甲基氢甲硅烷氧基封端的聚(二甲基硅氧烷/甲基氢硅氧烷), 
c)二甲基氢甲硅烷氧基封端的聚甲基氢硅氧烷, 
d)三甲基甲硅烷氧基封端的聚(二甲基硅氧烷/甲基氢硅氧烷), 
e)三甲基甲硅烷氧基封端的聚甲基氢硅氧烷, 
f)基本上由H(CH3)2SiO1/2单元和SiO4/2单元组成的树脂,以及 
g)它们的组合。 
适于用作成分(C)的直链、支链和环状有机氢聚硅氧烷的制备方法,例如有机卤代硅烷的水解和缩合,是本领域熟知的。适于用作成分(C)的有机氢聚硅氧烷树脂的制备方法也是熟知的,其在美国专利5,310,843、4,370,358和4,707,531中进行了示例。 
或者,成分(C)的有机氢硅化合物可包含式(V)化合物: 
Figure BDA0000479552660000341
其中每个R29独立地选自氢原子和包含1至20个成员原子的单价有机基团,下标k是值在0至18范围内的整数,下标m为值在0
Figure BDA0000479552660000342
至19范围内的整数,k+m为值在3至20、或3至40范围内的整数。每个R30独立地选自如上面部分中所述的单价有机基团、卤素原子或硅氧烷单元。或者,每个R30是独立地选自以下的官能团:卤素原子、醚基、烷氧基、烷氧基 醚基、酰基、环氧基、氨基、甲硅烷基或–Z-R31基团,其中每个Z独立地选自氧原子和包含2至20个碳原子的二价烃基,每个R31基团独立地选自-BR29 uR32 2-u、-Si R29 vR32 3-v或以式(VI)描述的基团: 
(R32 3-nR29 nSiO1/2)w(R32 2-oR29 oSiO2/2)x(R32 1-pR29 pSiO3/2)y(SiO4/2)z(CR29 qR32 1-q)aa(CR29 rR32 2-r)bb(O(CR29 sR32 2-s)cc(CR29 tR32 3-t)dd
其中B是指硼,每个R29如上文所述,w+x+y+z+aa+bb+cc+dd之和为至少2,下标n是值在0至3范围内的整数,下标o是值在0至2范围内的整数,下标p是值在0至1范围内的整数,下标q是值在0至1范围内的整数,下标r是值在0至2范围内的整数,下标s是值在0至2范围内的整数,下标t是值在0至3范围内的整数,下标u是值在0至2范围内的整数,下标v是值在0至3范围内的整数,每个R32是独立地选自以下的官能团:卤素原子、醚基、烷氧基、烷氧基醚基、酰基、环氧基、氨基、甲硅烷基或Z-G基团,其中Z如上文所述,每个G是以式(VII)描述的环硅氧烷: 
Figure BDA0000479552660000351
其中R29和R30如上文所述,下标ee是1,下标ff是值在0至18范围内的整数,下标gg是值在0至18范围内的整数,ff+gg是值在2至20范围内的整数,前提条件是在式(VII)中,R32基团中的一个被Z基团所替换,所述Z基团使R31基团键合于式(VII)的环硅氧烷上,并且前提条件还为如果aa+bb+cc+dd>0,则w+x+y+z>0。 
这些有机氢硅化合物是可商购获得的并且包括SL2交联剂和
Figure BDA0000479552660000353
SL12交联剂,这二者均可商购自美国密歇根州米德兰市的道 康宁公司(Dow Corning Corporation,Midland,Michigan,U.S.A)。上述的有机氢硅化合物和它们的制备方法示例于WO2003/093349和WO2003/093369中。示例性的有机氢硅化合物可以具有通式: 
Figure BDA0000479552660000361
其中 
每个R33独立地选自氢原子和单价有机基团;每个R34独立地选自氢原子、单价有机基团和式基团;下标hh是值至少为1的整数;下标jj是值至少为1的整数;并且下标ii是最小值为0的整数。在该通式中,R33的至少一个实体是氢原子。适用于R33和/或R34的单价有机基团的例子有上文关于R29所述的那些基团。 
组合物中成分(C)的确切量取决于包括以下各项在内的多种因素:成分(A)的反应性、成分(B)的类型和量、成分(B)是否含有硅键合的氢原子,以及任何另外的成分(除成分(C)以外)(如果存在的话)的类型和量。然而,以组合物中所有成分的总重量计,组合物中成分(C)的量可以在0%至25%、或0.1%至15%以及或者1%至5%的范围内。 
成分(D)为隔离物。隔离物可以包括有机粒子、无机粒子或它们的组合。隔离物可以是导热的、导电的或二者兼有。隔离物可以具有所需的粒度,例如,粒度可以在25微米(μm)至125μm的范围内。隔离物可包含单分散的小珠,例如玻璃或聚合物(如,聚苯乙烯)小珠。隔离物可包含导 热填料,例如,氧化铝、氮化铝、雾化金属粉末、氮化硼、铜和银。成分(D)的量取决于多种因素,包括粒度分布、在组合物或由其制备的固化产物的使用过程中将施加的压力、使用过程中的温度、以及组合物或由其制备的固化产物的所需厚度。然而,组合物可含有0.05%至2%、或0.1%至1%范围内的成分(D)的量。 
成分(E)是增量剂和/或增塑剂。包含非官能化的聚有机硅氧烷的增量剂可用于组合物中。例如,非官能化的聚有机硅氧烷可以包含式R6 2SiO2/2的双官能单元和式R7 3SiR28-的末端单元,其中每个R6和每个R7独立地为单价有机基团如单价烃基,其例子有:烷基,如甲基、乙基、丙基和丁基;烯基,如乙烯基、烯丙基和己烯基;芳基,如Ph、甲苯基、二甲苯基和萘基;以及芳烷基,如苯乙基;并且R28为氧原子或将末端单元的硅原子与另一硅原子连接的二价基团。R28的二价连接基团可以是二价有机基团、有机硅有机基团或二价烃基与二价硅氧烷基团的组合。或者,每个R28可独立地选自氧原子和二价烃基。或者,每个R28可为氧原子。或者,每个R28可为二价烃基,其例子有:亚烷基,如亚乙基、亚丙基、亚丁基或亚己基;亚芳基如亚苯基,或烷基亚芳基如: 
Figure BDA0000479552660000371
或者,R28的一个实体可为氧原子,而R28的一个不同实体为二价烃基。非官能化的聚有机硅氧烷是本领域已知的,并且可商购获得。适合的非官能化的聚有机硅氧烷的例子有(但不限于)聚二甲基硅氧烷。这样的聚二甲基硅氧烷包括DOW
Figure BDA0000479552660000372
200流体,其可从美国密歇根州米德兰市的道康宁公司商购获得,并且可具有50cSt至100,000cSt、或50cSt至50,000cSt、或12,500cSt至60,000cSt范围内的粘度。 
可以除了上述非官能化的聚有机硅氧烷增量剂以外还使用有机增塑剂,或者可以使用有机增塑剂代替上述非官能化聚有机硅氧烷增量剂。有机增塑剂是本领域已知的,并且可商购获得。有机增塑剂可包含邻苯二甲酸酯、羧酸盐、羧酸酯、己二酸酯或它们的组合。有机增塑剂可以选自:对苯二甲酸双(2-乙基己基)酯;1,4-苯二甲酸双(2-乙基己基)酯;2-乙基己基 甲基-1,4-苯二羧酸酯;1,2-环己烷二甲酸二壬酯,支链和直链的;邻苯二甲酸双(2-丙基庚基)酯;己二酸二异壬酯;以及它们的组合。 
有机增塑剂可以平均每分子具有至少一个式
Figure BDA0000479552660000381
基团,其中R8表示氢原子或单价有机基团。或者,R8可表示支链或直链单价烃基。单价有机基团可以是支链或直链单价烃基,如具有4至15个碳原子、或9至12个碳原子的烷基。适合的增塑剂可选自己二酸酯、羧酸酯、邻苯二甲酸酯以及它们的组合。 
或者,有机增塑剂可以平均每分子具有至少两个与环烃中的碳原子键合的上式基团。有机增塑剂可以具有以下通式: 
Figure BDA0000479552660000382
在该式中,基团Z表示具有3个或更多个碳原子、或3至15个碳原子的环烃基。下标k可具有1至12范围内的值。基团Z可以是饱和的或芳族的。每个R10独立地为氢原子或者支链或直链单价有机基团。R9的单价有机基团可以是烷基,如Me、Et或Bu。或者,R10的单价有机基团可为酯官能团。每个R9独立地为支链或直链单价烃基,如具有4至15个碳原子的烷基。 
适合的有机增塑剂是本领域已知的,并且可商购获得。增塑剂可包含邻苯二甲酸酯,例如:邻苯二甲酸二烷基酯,如邻苯二甲酸二丁酯(EastmanTM DBP增塑剂)、邻苯二甲酸二庚酯、邻苯二甲酸二(2-乙基己基)酯或邻苯二甲酸二异癸酯(DIDP)、邻苯二甲酸双(2-丙基庚基)酯(BASF DPHP)、邻苯二甲酸二(2-乙基己基)酯(EastmanTM DOP增塑剂)、邻苯二甲酸二甲酯(EastmanTM DMP增塑剂);邻苯二甲酸二乙酯(EastmanTM DMP增塑剂);邻苯二甲酸丁苄酯和对苯二甲酸双(2-乙基己基)酯(EastmanTM425增塑剂);二羧酸酯,如O,O-苄基,C7-C9直链和支链烷基-1,2-苯二甲酸酯(Ferro
Figure BDA0000479552660000384
261A)、1,2,4-苯三羧酸(BASF TOTM-I)、1,4-苯二甲酸双(2-乙基己基)酯(EastmanTM168增塑剂);2-乙基己基甲基-1,4-苯二羧酸酯;支链和直链1,2-环己烷二甲酸二壬酯(BASF Hexamoll*DINCH);己二酸二异壬酯;偏苯三酸酯,如偏苯三酸三辛酯(EastmanTMTOTM增塑剂);双(2-乙基己酸)三甘醇酯(EastmanTM TEG-EH增塑剂);三乙酸甘油酯(EastmanTM三乙酸甘油酯);非芳族二元酸酯,如己二酸二辛酯、己二酸双(2-乙基己基)酯(EastmanTM DOA增塑剂和EastmanTM DOA增塑剂,Kosher)、己二酸二-2-乙基己酯(BASF
Figure BDA0000479552660000392
DOA)、癸二酸二辛酯、癸二酸二丁酯和丁二酸二异癸酯;脂族酯,如油酸丁酯和乙酰基蓖麻酸甲酯;磷酸酯,如磷酸三甲苯酯和磷酸三丁酯;氯化石蜡;烃油,如烷基联苯和部分氢化三联苯;工艺油;环氧增塑剂,如环氧化大豆油和环氧硬脂酸苯甲酯;三(2-乙基己基)酯;脂肪酸酯;以及它们的组合。其他合适的增塑剂及其商业来源的例子包括BASF652和Eastman168XtremeTM增塑剂。 
或者,可使用聚合物增塑剂。聚合物增塑剂的例子包括通过借助于各种方法使乙烯基或烯丙基单体聚合而获得的烯基聚合物;聚亚烷基二醇酯,如二甘醇二苯甲酸酯、三甘醇二苯甲酸酯和季戊四醇酯;由二元酸(如癸二酸、己二酸、壬二酸和邻苯二甲酸)与二元醇(如乙二醇、二甘醇、三甘醇、丙二醇和二丙二醇)获得的聚酯增塑剂;聚醚,包括各具有不小于500的分子量的聚醚多元醇,如聚乙二醇、聚丙二醇和聚四亚甲基二醇;聚苯乙烯,如聚苯乙烯和聚α-甲基苯乙烯;和聚丁二烯、聚丁烯、聚异丁烯、丁二烯丙烯腈和聚氯丁二烯。 
上文关于成分(E)所述的聚有机硅氧烷增量剂和有机增塑剂可各自单独使用或以其二者或更多者的组合形式使用。低分子量有机增塑剂和较高分子量聚合物增塑剂可组合使用。用于组合物中的成分(E)确切的量将取决于多种因素,包括组合物和其固化产物的所需最终用途。然而,以组合物中所有成分的总重量计,成分(E)的量可在0.1%至10%的范围内。 
成分(F)为填料。填料可包含增强性填料、增充性填料、传导性填料或它们的组合。例如,组合物可任选还包含成分(f1)增强性填料,以组合物的重量计,其在存在时可以0.1%至95%、或1%至60%的量加入。成分(f1)的确切量取决于多种因素,包括组合物的反应产物的形式(如,凝胶或橡胶)以及是否添加了任何其他填料。合适的增强性填料的例子包括短切纤 维如短切和/或增强性二氧化硅填料如气相二氧化硅、二氧化硅气凝胶、二氧化硅干凝胶以及沉淀二氧化硅。气相二氧化硅是本领域已知的,并且可商购获得;如由美国马萨诸塞州的卡伯特公司(Cabot Corporation,Massachusetts,U.S.A.)以商品名CAB-O-SIL销售的热解法二氧化硅。 
以组合物的重量计,组合物可任选还以0.1%至95%、或1%至60%、或1%至20%的量包含成分(f2)增充性填料。增充性填料的例子包括碎石英、氧化铝、氧化镁、碳酸钙(如沉淀碳酸钙)、氧化锌、滑石、硅藻土、氧化铁、粘土、云母、二氧化钛、氧化锆、砂石、炭黑、石墨或它们的组合。增充性填料是本领域已知的,并且可商购获得;如由西弗吉尼亚州伯克利斯普林斯的美国二氧化硅公司(U.S.Silica,Berkeley Springs,WV)以商品名MIN-U-SIL销售的研磨二氧化硅。适合的沉淀碳酸钙包括来自苏威集团(Solvay)的
Figure BDA0000479552660000402
SPM和来自苏拉威西矿业公司(SMI)的
Figure BDA0000479552660000403
Figure BDA0000479552660000404
100。 
组合物可任选还包含成分(f3)传导性填料。成分(F)可以兼具导热和导电性。或者,成分(F)可以是导热且电绝缘的。成分(F)可选自氮化铝、氧化铝、三水合铝、钛酸钡、氧化铍、氮化硼、碳纤维、金刚石、石墨、氢氧化镁、氧化镁、金属微粒、缟玛瑙、碳化硅、碳化钨、氧化锌以及它们的组合。成分(F)可包含金属填料、无机填料、可熔填料或它们的组合。金属填料包括金属粒子以及在所述粒子的表面上具有多层的金属粒子。这些层可以是(例如)位于所述粒子的表面上的金属氮化物层或金属氧化物层。合适的金属填料的例子有选自如下的金属的粒子:铝、铜、金、镍、银以及它们的组合,或者铝。合适的金属填料的另外的例子为在其表面上具有多层的上面列出的金属的粒子,所述多层选自:氮化铝、氧化铝、氧化铜、氧化镍、氧化银、以及它们的组合。例如,金属填料可包括在其表面上具有氧化铝层的铝粒子。 
无机传导性填料的例子有缟玛瑙;三水合铝、金属氧化物如氧化铝、氧化铍、氧化镁和氧化锌;氮化物如氮化铝和氮化硼;碳化物如碳化硅和碳化钨;以及它们的组合。或者,无机传导性填料的例子有氧化铝、氧化锌以及它们的组合。可熔填料可包括Bi、Ga、In、Sn或它们的合金。可熔填料还可任选包含Ag、Au、Cd、Cu、Pb、Sb、Zn或它们的组合。合适的 可熔填料的例子包括Ga、In-Bi-Sn合金、Sn-In-Zn合金、Sn-In-Ag合金、Sn-Ag-Bi合金、Sn-Bi-Cu-Ag合金、Sn-Ag-Cu-Sb合金、Sn-Ag-Cu合金、Sn-Ag合金、Sn-Ag-Cu-Zn合金以及它们的组合。可熔填料可以具有50℃至250℃、或者150℃至225℃范围内的熔点。可熔填料可以是共晶合金、非共晶合金或纯金属。可熔填料可商购获得。 
例如,可熔填料可购自美国纽约州尤蒂卡的美国铟泰科技公司(Indium Corporation of America,Utica,N.Y.,U.S.A.);美国罗得岛州普罗维登斯的阿尔科尼公司(Arconium,Providence,R.I.,U.S.A.);以及美国罗得岛州克兰斯顿的华加美焊材公司(AIM Solder,Cranston,R.I.,U.S.A.)。铝填料可从例如美国伊利诺伊州内珀维尔的东洋美国公司(Toyal America,Inc.,Naperville,Illinois,U.S.A.)和美国加利福尼亚州斯托克顿的Valimet公司(Valimet Inc.,Stockton,California,U.S.A.)商购获得。银填料可从美国麻萨诸塞州阿特尔伯勒的美国美泰乐科技公司(Metalor Technologies U.S.A.Corp.,Attleboro,Massachusetts,U.S.A.)商购获得。 
导热填料是本领域已知的并且可商购获得。例如,CB-A20S和Al-43-Me是可从昭和电工株式会社(Showa-Denko)商购获得的具有不同粒度的氧化铝填料,并且AA-04、AA-2和AA18是可从住友化学工业株式会社(Sumitomo Chemical Company)商购获得的氧化铝填料。氧化锌,例如具有商标
Figure BDA0000479552660000411
Figure BDA0000479552660000412
的氧化锌,可从美国宾夕法尼亚州莫纳卡的美国锌业公司(Zinc Corporation ofAmerica,Monaca,Pennsylvania,U.S.A.)商购获得。 
填料粒子的形状没有特别限制,然而,圆形或球形粒子可防止在组合物中具有高载荷填料时粘度增至不期望的水平。 
成分(F)可以是单一填料或至少一项如下性质不同的两种或更多种填料的组合:例如粒子形状、平均粒度、粒度分布和填料类型。例如,可能有利的是使用填料的组合,如具有较大平均粒度的第一填料和具有较小平均粒度的第二填料。如与不具有此类填料组合的组合物相比,使用具有较大平均粒度的第一填料和具有比第一填料小的平均粒度的第二填料可改善充填效率和/或可降低组合物的粘度。 
填料的平均粒度将取决于多种因素,包括选用于成分(F)的填料的类型和添加至组合物的确切量以及组合物反应产物的最终用途。然而,填料可 以具有0.1至80μm、或0.1至50μm以及或者0.1至10μm范围内的平均粒度。 
组合物中成分(F)的量取决于多种因素,包括选用于组合物和组合物反应产物的最终用途、成分(B)的类型和量以及选用于成分(F)的填料的类型和量。然而,以组合物的体积计,成分(F)的量可在0体积%至80体积%、或50体积%至75体积%、或30体积%至80体积%的范围内。不希望受理论的束缚,据认为当填料的量大于80体积%时,组合物可反应而形成对于某些应用而言尺寸完整性不充分的反应产物。 
组合物可任选还包含成分(G)处理剂。成分(G)的量将视诸如以下的因素而变化:所选择的处理剂的类型和待处理的微粒(例如成分(F)和/或(D))的类型和量,以及微粒是否是在加入至组合物中之前被处理,或者微粒是否就地处理。然而,以组合物中所有成分的重量计,成分(G)可以以0.01%至20%、或0.1%至15%、或0.5%至5%范围内的量使用。诸如填料、物理干燥剂、某些阻燃剂和/或某些颜料之类的微粒当存在时可任选用成分(G)进行表面处理。微粒可以在加入组合物之前用成分(G)处理,或原位用成分(G)处理。成分(G)可包含烷氧基硅烷、烷氧基官能低聚硅氧烷、环状聚有机硅氧烷、羟基官能低聚硅氧烷如二甲基硅氧烷或甲基苯基硅氧烷、或脂肪酸。脂肪酸的例子包括硬脂酸盐,例如硬脂酸钙。 
可以用作成分(G)的一些代表性有机硅填料处理剂包括通常用于处理二氧化硅填料的组合物,如有机氯硅烷;有机硅氧烷;有机二硅氮烷,如六烷基二硅氮烷;和有机烷氧基硅,如C6H13Si(OCH3)3、C8H17Si(OC2H5)3、C10H21Si(OCH3)3、C12H25Si(OCH3)3、C14H29Si(OC2H5)3和C6H5CH2CH2Si(OCH3)3。可以使用的其他处理剂包括烷基硫醇、脂肪酸、钛酸酯(titanate)、钛酸酯偶联剂、锆酸酯偶联剂以及它们的组合。 
或者,成分(G)可包含具有下式的烷氧基硅烷:R11 mSi(OR12)(4-m),其中下标m可具有在1至3范围内的值,或者下标m为3。每个R11独立地为单价有机基团,如具有1至50个碳原子、或8至30个碳原子、或8至18个碳原子的单价烃基。R11的例子有烷基,如己基、辛基、十二烷基、十四烷基、十六烷基和十八烷基;以及芳基,如苄基和苯乙基。R11可以是饱和的或不饱和的,并且是支链的或非支链的。或者,R11可以是饱和的且非支链的。 
每个R12独立地为1至4个碳原子、或者1至2个碳原子的饱和烃基。适于用作成分(G)的烷氧基硅烷的例子有己基三甲氧基硅烷、辛基三乙氧基硅烷、癸基三甲氧基硅烷、十二烷基三甲氧基硅烷、十四烷基三甲氧基硅烷、苯基乙基三甲氧基硅烷、十八烷基三甲氧基硅烷、十八烷基三乙氧基硅烷以及它们的组合。 
烷氧基官能低聚硅氧烷也可以用作处理剂。例如,合适的烷氧基官能低聚硅氧烷包括式(V)的那些:(R13O)nSi(OSiR14 2R15)(4-n)。在该式中,下标n为1、2或3,或者下标n为3。每个R13可以是烷基。每个R14可以是1至10个碳原子的不饱和单价烃基。每个R15可以是具有至少10个碳原子的不饱和单价烃基。 
某些微粒(例如金属填料)可以用以下物质处理:烷基硫醇,如十八硫醇;脂肪酸,如油酸和硬脂酸;以及它们的组合。 
用于氧化铝或钝化氮化铝的处理剂可包括烷氧基甲硅烷基官能烷基甲基聚硅氧烷(如,R16 oR17 pSi(OR18)(4-o-p)的部分水解缩合物或共水解缩合物或混合物),或其中可水解基团可包括硅氮烷、酰氧基或肟基的类似材料。所有这些中,连接至Si的基团,如上式中的R16,是长链不饱和单价烃或者单价芳族官能化烃。每个R17独立地为单价烃基,每个R18独立地为具有1-4个碳原子的单价烃基。在上式中,下标o为1、2或3,下标p为0、1或2,前提条件是(o+p)之和为1、2或3。 
其他处理剂包括烯基官能聚有机硅氧烷。适合的烯基官能聚有机硅氧烷包括(但不限于): 
Figure BDA0000479552660000431
其中下标q的值最多为1,500。其他处理剂包括单封端烷氧基官能聚二有机硅氧烷,即一端具有烷氧基的聚二有机硅氧烷。此类处理剂的例子为下式:R25R26 2SiO(R26 2SiO)uSi(OR27)3,其中下标u的值为0至100,或1至 50,或1至10,以及或3至6。每个R25独立地选自烷基,如Me、Et、Pr、Bu、己基和辛基;以及烯基,如Vi、烯丙基、丁烯基和Hex。每个R26独立地为烷基,如Me、Et、Pr、Bu、己基和辛基。每个R27独立地为烷基,如Me、Et、Pr和Bu。或者,每个R25、每个R26以及每个R27是Me。或者,每个R25是Vi。或者,每个R26以及每个R27是Me。 
或者,能够形成氢键的聚有机硅氧烷可用作处理剂。处理填料表面的这种策略利用聚集的或分散的或这两种形式的多个氢键作为将增容部分拴系至填料表面的手段。能够形成氢键的聚有机硅氧烷平均每分子具有至少一个能够形成氢键的硅键合基团。该基团可选自:具有多个羟基官能团的有机基团或具有至少一个氨基官能团的有机基团。能够形成氢键的聚有机硅氧烷意味着,氢键键合是聚有机硅氧烷与填料的主要连接模式。聚有机硅氧烷可能不能够与填料形成共价键。能够形成氢键的聚有机硅氧烷可选自糖-硅氧烷聚合物、氨基官能聚有机硅氧烷以及它们的组合。或者,能够形成氢键的聚有机硅氧烷可以是糖-硅氧烷聚合物。 
成分(H)是杀生物剂。成分(H)的量将视包括所选择的杀生物剂的类型和所需有益效果在内的因素而变化。然而,以组合物中所有成分的重量计,成分(H)的量的范围可为大于0%至5%。成分(H)的例子有(h1)杀真菌剂、(h2)除草剂、(h3)杀虫剂、(h4)抗微生物剂或它们的组合。 
成分(h1)是杀真菌剂,例如,这些杀真菌剂包括N取代的苯并咪唑氨基甲酸酯、苯并咪唑基氨基甲酸酯如2-苯并咪唑基氨基甲酸甲酯、2-苯并咪唑基氨基甲酸乙酯、2-苯并咪唑基氨基甲酸异丙酯、N-{2-[1-(N,N-二甲基氨甲酰基)苯并咪唑基]}氨基甲酸甲酯、N-{2-[1-(N,N-二甲基氨甲酰基)-6-甲基苯并咪唑基]}氨基甲酸甲酯、N-{2-[1-(N,N-二甲基氨甲酰基)-5-甲基苯并咪唑基]}氨基甲酸甲酯、N-{2-[1-(N-甲基氨甲酰基)苯并咪唑基]}氨基甲酸甲酯、N-{2-[1-(N-甲基氨甲酰基)-6-甲基苯并咪唑基]}氨基甲酸甲酯、N-{2-[1-(N-甲基氨甲酰基)-5-甲基苯并咪唑基]}氨基甲酸甲酯、N-{2-[1-(N,N-二甲基氨甲酰基)苯并咪唑基]}氨基甲酸乙酯、N-{2-[2-(N-甲基氨甲酰基)苯并咪唑基]}氨基甲酸乙酯、N-{2-[1-(N,N-二甲基氨甲酰基)-6-甲基苯并咪唑基]}氨基甲酸乙酯、N-{2-[1-(N-甲基氨甲酰基)-6-甲基苯并咪唑基]}氨基甲酸乙酯、N-{2-[1-(N,N-二甲基氨甲酰基)苯并咪唑基]}氨基甲酸异丙酯、N-{2-[1-(N-甲基氨甲酰基)苯并咪唑基]}氨基甲酸异丙酯、N-{2-[1-(N- 丙基氨甲酰基)苯并咪唑基]}氨基甲酸甲酯、N-{2-[1-(N-丁基氨甲酰基)苯并咪唑基]}氨基甲酸甲酯、N-{2-[1-(N-丙基氨甲酰基)苯并咪唑基]}氨基甲酸甲氧基乙酯、N-{2-[1-(N-丁基氨甲酰基)苯并咪唑基]}氨基甲酸甲氧基乙酯、N-{2-[1-(N-丙基氨甲酰基)苯并咪唑基]}氨基甲酸乙氧基乙酯、N-{2-[1-(N-丁基氨甲酰基)苯并咪唑基]}氨基甲酸乙氧基乙酯、N-{1-(N,N-二甲基氨甲酰氧基)苯并咪唑基]}氨基甲酸甲酯、N-{2-[N-甲基氨甲酰氧基)苯并咪唑基]}氨基甲酸甲酯、N-{2-[1-(N-丁基氨甲酰氧基)苯并咪唑基]}氨基甲酸甲酯、N-{2-[1-(N-丙基氨甲酰基)苯并咪唑基]}氨基甲酸乙氧基乙酯、N-{2-[1-(N-丁基氨甲酰氧基)苯并咪唑基]}氨基甲酸乙氧基乙酯、N-{2-[1-(N,N-二甲基氨甲酰基)-6-氯苯并咪唑基]}氨基甲酸甲酯和N-{2-[1-(N,N-二甲基氨甲酰基)-6-硝基苯并咪唑基]}氨基甲酸甲酯;10,10'-氧基双吩砒(其具有商品名霉克净(Vinyzene),OBPA)、二-碘甲基-对甲苯基砜、苯并噻吩-2-环己基甲酰胺-S,S-二氧化物、N-(氟二氯甲硫基)邻苯二甲酰亚胺(其具有商品名氟灭菌丹(Fluor-Folper)和备防多(Preventol)A3);甲基-苯并咪唑-2-基氨基甲酸酯(其具有商品名多菌灵(Carbendazim)和备防多(Preventol)BCM)、双(2-吡啶基硫基-1-氧化物)锌(吡啶硫酮锌)、2-(4-噻唑基)-苯并咪唑、N-苯基-碘炔丙基氨基甲酸酯、N-辛基-4-异噻唑啉-3-酮、4,5-二氯化物-2-正辛基-4-异噻唑啉-3-酮、N-丁基-1,2-苯并异噻唑啉-3-酮,和/或三唑基化合物,例如戊唑醇(tebuconazole)与含银的沸石的组合。 
成分(h2)是除草剂,例如,适合的除草剂包括酰胺除草剂,如草毒死(allidochlor)N,N-二烯丙基-2-氯乙酰胺;CDEA2-氯-N,N-二乙基乙酰胺;艾尼丙密(etnipromid)(RS)-2-[5-(2,4-二氯苯氧基)-2-硝基苯氧基]-N-乙基丙酰胺;酰苯胺除草剂,如咯草隆(cisanilide)顺式-2,5-二甲基吡咯烷-1-甲酰苯胺;氟噻草胺(flufenacet)4′-氟-N-异丙基-2-[5-(三氟甲基)-1,3,4-噻二唑-2-基氧基]乙酰苯胺;萘丙胺(naproanilide)(RS)-α-2-萘氧基丙酰苯胺;芳基丙氨酸除草剂,如新燕灵(benzoylprop)N-苯甲酰基-N-(3,4-二氯苯基)-DL-丙氨酸;高效麦草伏(flamprop-M)N-苯甲酰基-N-(3-氯-4-氟苯基)-D-丙氨酸;氯乙酰苯胺除草剂,如丁草胺(butachlor)N-丁氧基甲基-2-氯-2′,6′-二乙基乙酰苯胺;吡草胺(metazachlor)2-氯-N-(吡唑-1-基甲基)乙酰-2′,6′-二甲苯胺、丙炔草胺(prynachlor)(RS)-2-氯-N-(1-甲基丙-2-炔基)乙酰苯胺;磺酰苯胺除草剂,如氯酯磺草胺(Cloransulam)3-氯-2-(5-乙氧基-7-氟[1,2,4]三唑并[1,5-c] 嘧啶-2-基磺酰胺基)苯甲酸;磺草唑胺(metosulam)2′,6′-二氯-5,7-二甲氧基-3′-甲基[1,2,4]三唑并[1,5-a]嘧啶-2-磺酰苯胺;抗生素除草剂,如双丙氨膦(bilanafos)4-[羟基(甲基)膦酰]-L-高丙氨酰-L-丙氨酰-L-丙氨酸;苯甲酸除草剂,如草灭平(chloramben)3-氨基-2,5-二氯苯甲酸;2,3,6-TBA2,3,6-三氯苯甲酸;嘧啶基氧基苯甲酸除草剂,如双草醚(bispyribac)2,6-双(4,6-二甲氧基嘧啶-2-基氧基)苯甲酸;嘧啶基硫代苯甲酸除草剂,如嘧草硫醚(pyrithiobac)2-氯-6-(4,6-二甲氧基嘧啶-2-基硫代)苯甲酸;邻苯二甲酸除草剂,如敌草索(chlorthal)四氯对苯二甲酸;吡啶甲酸除草剂,如氯氨吡啶酸(aminopyralid)4-氨基-3,6-二氯吡啶-2-甲酸;喹啉羧酸除草剂,如二氯喹啉酸(quinclorac)3,7-二氯喹啉-8-甲酸。含砷除草剂,如CMA双(氢甲基胂酸)钙;MAMA氢甲基胂酸铵、亚砷酸钠;苯甲酰环己烷二酮除草剂,如甲基磺草酮(mesotrione)2-(4-甲磺酰基-2-硝基苯甲酰)环己烷-1,3-二酮;苯并呋喃基烷基磺酸盐除草剂,如呋草黄(benfuresate)2,3-二氢-3,3-二甲基苯并呋喃-5-基乙烷磺酸盐;氨基甲酸酯除草剂,如特
Figure BDA0000479552660000465
唑威(carboxazole)5-叔丁基-1,2-
Figure BDA0000479552660000466
唑-3-基氨基甲酸甲酯;苯氧威(fenasulam)4-[2-(4-氯-邻甲苯氧基)乙酰胺基]苯基磺酰基氨基甲酸甲酯;苯氨甲酸酯除草剂,如BCPC(RS)-仲丁基3-氯苯氨甲酸酯;甜菜安(desmedipham)3-苯基氨甲酰氧基苯基氨基甲酸乙酯、灭草灵(swep)3,4-二氯苯基氨基甲酸甲酯;环己烯肟除草剂,如丁氧环酮(butroxydim)(RS)-(EZ)-5-(3-丁酰基-2,4,6-三甲基苯基)-2-(1-乙氧基亚氨基丙基)-3-羟基环己-2-烯-1-酮;得杀草(tepraloxydim)(RS)-(EZ)-2-{1-[(2E)-3-氯烯丙氧基亚氨基]丙基}-3-羟基-5-全氢吡喃-4-基环己-2-烯-1-酮;环丙基异
Figure BDA0000479552660000461
唑除草剂,如异氯草酮(isoxachlortole)4-氯-2-甲磺酰基苯基5-环丙基-1,2-
Figure BDA0000479552660000463
唑-4-基酮;二甲酰亚胺除草剂,如氟奋乃静(flumezin)2-甲基-4-(α,α,α-三氟-间甲苯基)-1,2,4-二嗪-3,5-二酮;二硝基苯胺除草剂,如乙丁烯氟灵(ethalfluralin)N-乙基-α,α,α-三氟-N-(2-甲基烯丙基)-2,6-二硝基-对甲苯胺;氨基丙乐灵(prodiamine)5-二丙基氨基-α,α,α-三氟-4,6-二硝基-邻甲苯胺;二硝基苯酚除草剂,如丙硝酚(dinoprop)4,6-二硝基-邻伞花烃-3-醇;硝草酚(etinofen)α-乙氧基-4,6-二硝基-邻甲基苯酚;二苯基醚除草剂,如氟乳醚(ethoxyfen)O-[2-氯-5-(2-氯-α,α,α-三氟-对甲苯氧基)苯甲酰]-L-乳酸;硝基苯基醚除草剂,如苯草醚(aclonifen)2-氯-6-硝基-3-苯氧基苯胺;除草醚(nitrofen)2,4-二氯苯基4-硝基苯基醚;二硫代氨基甲酸酯除草剂, 如棉隆(dazomet)3,5-二甲基-1,3,5-噻二嗪-2-硫酮;卤化脂族除草剂,如茅草枯(dalapon)2,2-二氯丙酸;氯乙酸;咪唑啉酮除草剂,如灭草烟(imazapyr)(RS)-2-(4-异丙基-4-甲基-5-氧代-2-咪唑啉-2-基)烟酸;无机除草剂,如十水四硼酸二钠、叠氮化钠;腈除草剂,如羟敌草腈(chloroxynil)3,5-二氯-4-羟基-苯甲腈、碘苯腈(ioxynil)4-羟基-3,5-二-碘苯甲腈;有机磷除草剂,如莎稗磷(anilofos)O,O-二甲基二硫代磷酸S-4-氯-N-异丙基苯氨甲酰基甲酯、草铵膦(glufosinate)4-[羟基(甲基)膦酰基]-DL-高丙氨酸;苯氧基除草剂,如稗草胺(clomeprop)(RS)-2-(2,4-二氯-间甲苯氧基)丙酰苯胺;芬特拉科(fenteracol)2-(2,4,5-三氯苯氧基)乙醇;苯氧基乙酸除草剂,如MCPA(4-氯-2-甲基苯氧基)乙酸;苯氧基丁酸除草剂,如MCPB4-(4-氯-邻甲苯氧基)丁酸;苯氧基丙酸除草剂,如2,4,5-涕丙酸(fenoprop)(RS)-2-(2,4,5-三氯苯氧基)丙酸;芳氧基苯氧基丙酸除草剂,如异
Figure BDA0000479552660000471
草醚(isoxapyrifop)(RS)-2-[2-[4-(3,5-二氯-2-吡啶氧基)苯氧基]丙酰基]异唑烷;苯二胺除草剂,如敌乐胺(dinitramine)N1,N1-二乙基-2,6-二硝基-4-三氟甲基-间苯二胺;吡唑氧基苯乙酮除草剂,如苄草唑(pyrazoxyfen)2-[4-(2,4-二氯苯甲酰基)-1,3-二甲基吡唑-5-基氧基]苯乙酮;吡唑基苯基除草剂,如吡草醚(pyraflufen)2-氯-5-(4-氯-5-二氟甲氧基-1-甲基吡唑-3-基)-4-氟苯氧基乙酸;哒嗪除草剂,如吡啶达醇(pyridafol)6-氯-3-苯基哒嗪-4-醇;哒嗪酮除草剂,如氯草敏(chloridazon)5-氨基-4-氯-2-苯基哒嗪-3(2H)-酮;草哒松(oxapyrazon)5-溴-1,6-二氢-6-氧代-1-苯基哒嗪-4-基草氨酸;吡啶除草剂,如氯氟吡氧乙酸(fluroxypyr)4-氨基-3,5-二氯-6-氟-2-吡啶氧基乙酸;噻草啶(thiazopyr)2-二氟甲基-5-(4,5-二氢-1,3-噻唑-2-基)-4-异丁基-6-三氟甲基烟酸甲酯;嘧啶二胺除草剂,如丙草定(iprymidam)6-氯-N4-异丙基嘧啶-2,4-二胺;季铵除草剂,如迭森快(diethamquat)1,1′-双(二乙基氨甲酰基甲基)-4,4′-联吡啶;百草枯(paraquat)1,1′-二甲基-4,4′-联吡
Figure BDA0000479552660000474
;硫代氨基甲酸酯除草剂,如环草特(cycloate)S-环己基(乙基)硫代氨基甲酸乙酯;仲草丹(tiocarbazil)S-苄基二-仲丁基硫代氨基甲酸酯;硫代碳酸酯除草剂,如EXDO,O-二乙基二硫代双(硫代甲酸酯);硫脲除草剂,如灭草恒(methiuron)1,1-二甲基-3-间甲苯基-2-硫脲;三嗪除草剂,如三嗪氟草胺(triaziflam)(RS)-N-[2-(3,5-二甲基苯氧基)-1-甲基乙基]-6-(1-氟-1-甲基乙基)-1,3,5-三嗪-2,4-二胺;氯三嗪除草剂,如环丙津(cyprazine)6-氯-N2-环丙基-N4-1,3,5-三 嗪-2,4-二胺;扑灭津(propazine)6-氯-N2,N4-二-异丙基-1,3,5-三嗪-2,4-二胺;甲氧基三嗪除草剂,如扑灭通(prometon)N2,N4-二-异丙基-6-甲氧基-1,3,5-三嗪-2,4-二胺;甲硫基三嗪除草剂,如氰草净(cyanatryn)2-(4-乙氨基-6-甲基硫基-1,3,5-三嗪-2-基氨基)-2-甲基丙腈;三嗪酮除草剂,如环嗪酮(hexazinone)3-环己基-6-二甲氨基-1-甲基-1,3,5-三嗪-2,4(1H,3H)-二酮;三唑除草剂,如艾丙诺斯(epronaz)N-乙基-N-丙基-3-丙基磺酰基-1H-1,2,4-三唑-1-甲酰胺;三唑酮除草剂,如唑酮草酯(carfentrazone)(RS)-2-氯-3-{2-氯-5-[4-(二氟甲基)-4,5-二氢-3-甲基-5-氧代-1H-1,2,4-三唑-1-基]-4-氟苯基}丙酸;三唑并嘧啶除草剂,如双氟磺草胺(florasulam)2′,6′,8-三氟-5-甲氧基[1,2,4]三唑并[1,5-c]嘧啶-2-磺酰苯胺;尿嘧啶除草剂,如氟丙铂秀(flupropacil)2-氯-5-(1,2,3,6-四氢-3-甲基-2,6-二氧代-4-三氟甲基嘧啶-1-基)苯甲酸异丙酯;脲除草剂,如环莠隆(cycluron)3-环辛基-1,1-二甲基脲;特唑隆(monisouron)1-(5-叔丁基-1,2-
Figure BDA0000479552660000482
唑-3-基)-3-甲基脲;苯基脲除草剂如氯草隆(chloroxuron)3-[4-(4-氯苯氧基)苯基]-1,1-二甲基脲;环草隆(siduron)1-(2-甲基环己基)-3-苯基脲;嘧啶基磺酰脲除草剂,如啶嘧磺隆(flazasulphuron)1-(4,6-二甲氧基嘧啶-2-基)-3-(3-三氟甲基-2-吡啶基磺酰基)脲;吡嘧磺隆(pyrazosulphuron)5-[(4,6-二甲氧基嘧啶-2-基氨甲酰基)氨磺酰基]-1-甲基吡唑-4-甲酸;三嗪基磺酰脲除草剂,如噻吩磺隆(thifensulphuron)3-(4-甲氧基-6-甲基-1,3,5-三嗪-2-基氨甲酰基氨磺酰基)噻吩-2-甲酸;噻二唑基脲除草剂,如特丁噻草隆(tebuthiuron)1-(5-叔丁基-1,3,4-噻二唑-2-基)-1,3-二甲基脲;和/或未分类的除草剂,如伐草克(chlorfenac)(2,3,6-三氯苯基)乙酸;灭草唑(methazole)2-(3,4-二氯苯基)-4-甲基-1,2,4-
Figure BDA0000479552660000483
二唑啉-3,5-二酮;草达克(tritac)(RS)-1-(2,3,6-三氯苯甲氧基)丙-2-醇;2,4-D、氯嘧磺隆(chlorimuron)和
Figure BDA0000479552660000484
唑禾草灵(fenoxaprop);以及它们的组合。 
成分(h3)是杀虫剂。适合的杀虫剂的例子有莠去津(atrazine)、二嗪农(diazinon)和毒死蜱(chlorpyrifos)。出于本申请的目的,杀虫剂包括驱昆虫剂如N,N-二乙基-间甲苯酰胺;和拟除虫菊酯如除虫菊酯。 
成分(h4)是抗微生物剂。适合的抗微生物剂可商购获得,如DOW 
Figure BDA0000479552660000485
5700和DOW
Figure BDA0000479552660000486
5772,其来自美国密歇根州米德兰市的道康宁公司。 
或者,成分(H)可以包含含硼物质,如硼酸酐、硼砂或八硼酸二钠四水合物;其可以充当杀虫剂、杀真菌剂和/或阻燃剂。 
成分(I)是稳定剂,其可以用于使组合物的反应速率相较于含有相同成分但省去该稳定剂的组合物发生变更。用于硅氢加成可固化组合物的稳定剂的例子有炔属醇类,如甲基丁炔醇、乙炔基环己醇、二甲基己炔醇和3,5-二甲基-1-己炔-3-醇、1-丁炔-3-醇、1-丙炔-3-醇、2-甲基-3-丁炔-2-醇、3-甲基-1-丁炔-3-醇、3-甲基-1-戊炔-3-醇、3-苯基-1-丁炔-3-醇、4-乙基-1-辛炔-3-醇、3,5-二甲基-1-己炔-3-醇和1-乙炔基-1-环己醇以及它们的组合;环烯基硅氧烷类,如甲基乙烯基环硅氧烷类,其例子有:1,3,5,7-四甲基-1,3,5,7-四乙烯基环四硅氧烷、1,3,5,7-四甲基-1,3,5,7-四己烯基环四硅氧烷以及它们的组合;烯-炔化合物,如3-甲基-3-戊烯-1-炔、3,5-二甲基-3-己烯-1-炔;三唑类,如苯并三唑;膦类;硫醇类;肼类;胺类,如四甲基乙二胺;反丁烯二酸二烷酯、反丁烯二酸二烯酯、反丁烯二酸二烷氧基烷酯;顺丁烯二酸酯类,如顺丁烯二酸二烯丙酯;腈类;醚类;一氧化碳;烯烃类,如环辛二烯、二乙烯基四甲基二硅氧烷;醇类,如苄醇;以及它们的组合。 
或者,组合物中的成分(I)可为甲硅烷基化炔属化合物。不希望受理论的束缚,据认为与不含有甲硅烷基化炔属化合物或含有有机炔醇稳定剂(如上述的那些)的组合物的硅氢加成所得反应产物相比,添加甲硅烷基化炔属化合物降低了由组合物硅氢加成反应而制备的反应产物的黄化。 
甲硅烷基化炔属化合物的例子有(3-甲基-1-丁炔-3-氧基)三甲基硅烷、((1,1-二甲基-2-丙炔基)氧基)三甲基硅烷、双(3-甲基-1-丁炔-3-氧基)二甲基硅烷、双(3-甲基-1-丁炔-3-氧基)硅烷甲基乙烯基硅烷、双((1,1-二甲基-2-丙炔基)氧基)二甲基硅烷、甲基(三(1,1-二甲基-2-丙炔基氧基))硅烷、甲基(三(3-甲基-1-丁炔-3-氧基))硅烷、(3-甲基-1-丁炔-3-氧基)二甲基苯基硅烷、(3-甲基-1-丁炔-3-氧基)二甲基己烯基硅烷、(3-甲基-1-丁炔-3-氧基)三乙基硅烷、双(3-甲基-1-丁炔-3-氧基)甲基三氟丙基硅烷、(3,5-二甲基-1-己炔-3-氧基)三甲基硅烷、(3-苯基-1-丁炔-3-氧基)二苯基甲基硅烷、(3-苯基-1-丁炔-3-氧基)二甲基苯基硅烷、(3-苯基-1-丁炔-3-氧基)二甲基乙烯基硅烷、(3-苯基-1-丁炔-3-氧基)二甲基己烯基硅烷、(环己基-1-乙炔-1-氧基)二甲基己烯基硅烷、(环己基-1-乙炔-1-氧基)二甲基乙烯基硅烷、(环己基-1-乙炔-1-氧 基)二苯基甲基硅烷、(环己基-1-乙炔-1-氧基)三甲基硅烷以及它们的组合。或者,成分(I)的例子有甲基(三(1,1-二甲基-2-丙炔基氧基))硅烷、((1,1-二甲基-2-丙炔基)氧基)三甲基硅烷或它们的组合。可用作成分(I)的甲硅烷基化炔属化合物可通过本领域已知的方法制备,所述方法例如通过将上述炔醇与氯硅烷在存在酸受体的情况下反应,使上述炔醇被甲硅烷基化。 
添加至组合物的稳定剂的量将取决于多种因素,包括组合物的所需适用期、组合物将是单组分组合物还是多组分组合物、具体使用的稳定剂以及成分(C)(如果存在的话)的选择和量。然而,当稳定剂存在时,以组合物中所有成分的重量计,稳定剂的量可以在0%至1%、或0%至5%、或0.001%至1%、或0.01%至0.5%、以及或者0.0025%至0.025%的范围内。 
成分(J)是阻燃剂。适合的阻燃剂可以包括例如炭黑、水合氢氧化铝和硅酸盐(如硅灰石)、铂和铂化合物。或者,阻燃剂可以选自基于卤素的阻燃剂,如十溴二苯醚、八溴二苯醚、六溴环十二烷、十溴二苯醚、二苯氧基苯、乙撑双-四溴邻苯二甲酰亚胺、五溴乙基苯、丙烯酸五溴苯甲酯、三溴苯基马来酰亚胺、四溴双酚A、双-(三溴苯氧基)乙烷、双-(五溴苯氧基)乙烷、聚二溴苯醚、三溴苯基烯丙基醚、双-二溴丙基醚、四溴邻苯二甲酸酐、二溴新戊二醇、二溴乙基二溴环己烷、五溴二苯醚、三溴苯乙烯、五溴氯环己烷、四溴二甲苯、六溴环十二烷、溴化聚苯乙烯、十四溴二苯氧基苯、三氟丙烯和PVC。或者,阻燃剂可以选自基于磷的阻燃剂,如(2,3-二溴丙基)-磷酸酯、磷、环磷酸酯、磷酸三芳基酯、喷替酸双三聚氰铵(bis-melaminium pentate)、季戊四醇双环磷酸酯、甲基磷酸二甲酯、氧化膦二醇、磷酸三苯酯、磷酸三-(2-氯乙基)酯、磷酸酯(如磷酸三甲苯酯、磷酸三二甲苯酯、O,O,O-异癸基二苯基磷酸酯、O,O,O-(乙基己基)二苯基磷酸酯)、各种胺的磷酸盐(如磷酸铵)、磷酸三辛酯、磷酸三丁酯或磷酸三-丁氧基乙基酯。其他阻燃剂可包括四烷基铅化合物,例如四乙基铅、五羰基铁、甲基环戊二烯基三羰基锰、三聚氰胺以及衍生物(如三聚氰胺盐)、胍、双氰胺、氨基磺酸铵、三水合氧化铝和氢氧化镁、三水合氧化铝。 
阻燃剂的量将视诸如所选择的阻燃剂以及是否存在溶剂之类的因素而变化。然而,以组合物中所有成分的重量计,组合物中阻燃剂的量可在大于0%至10%的范围内。 
成分(K)是表面改性剂。合适的表面改性剂的例子有(k1)助粘剂和(k2)脱模剂。适用于成分(k1)的助粘剂可包含过渡金属螯合物、烃氧基硅烷(如烷氧基硅烷)、烷氧基硅烷与羟基官能聚有机硅氧烷的组合、氨基官能硅烷或它们的组合。增粘剂是本领域已知的,并且可包含具有式R19 rR20 sSi(OR21)4-(r+s)的硅烷,其中每个R19独立地为具有至少3个碳原子的单价有机基团;R20包含至少一个具有增粘基团(如氨基、环氧基、巯基或丙烯酸酯基)的SiC键合的取代基;下标r具有0至2范围内的值;下标s为1或2;并且(r+s)之和不大于3。或者,助粘剂可包含以上硅烷的部分缩合物。或者,助粘剂可包含烷氧基硅烷与羟基官能聚有机硅氧烷的组合。 
或者,助粘剂可包含不饱和化合物或环氧官能化化合物。助粘剂可包含不饱和烷氧基硅烷或环氧官能化烷氧基硅烷。例如,官能化烷氧基硅烷可以具有式R22 tSi(OR23)(4-t),其中下标t为1、2或3,或者下标t为1。每个R22独立地为单价有机基团,前提条件是至少一个R22是不饱和有机基团或环氧官能有机基团。R22的环氧官能化有机基团的例子有3-缩水甘油氧基丙基和(环氧环己基)乙基。R22的不饱和有机基团的例子有3-甲基丙烯酰氧基丙基、3-丙烯酰氧基丙基和不饱和单价烃基(如乙烯基、烯丙基、己烯基、十一烯基)。每个R23独立地为1至4个碳原子、或者1至2个碳原子的饱和烃基。R23的例子有Me、Et、Pr和Bu。 
合适的环氧官能烷氧基硅烷的例子包括3-缩水甘油氧基丙基三甲氧基硅烷、3-缩水甘油氧基丙基三乙氧基硅烷、(环氧环己基)乙基二甲氧基硅烷、(环氧环己基)乙基二乙氧基硅烷以及它们的组合。合适的不饱和烷氧基硅烷的例子包括乙烯基三甲氧基硅烷、烯丙基三甲氧基硅烷、烯丙基三乙氧基硅烷、己烯基三甲氧基硅烷、十一碳烯基三甲氧基硅烷、3-甲基丙烯酰氧基丙基三甲氧基硅烷、3-甲基丙烯酰氧基丙基三乙氧基硅烷、3-丙烯酰氧基丙基三甲氧基硅烷、3-丙烯酰氧基丙基三乙氧基硅烷以及它们的组合。 
或者,助粘剂可包含环氧官能硅氧烷,例如如上文所述的羟基封端的聚有机硅氧烷与环氧官能烷氧基硅烷的反应产物,或羟基封端的聚有机硅氧烷与环氧官能烷氧基硅烷的物理共混物。助粘剂可包含环氧官能化烷氧基硅烷和环氧官能化硅氧烷的组合。例如,助粘剂的例子有3-缩水甘油氧 基丙基三甲氧基硅烷和羟基封端的甲基乙烯基硅氧烷与3-缩水甘油氧基丙基三甲氧基硅烷的反应产物的混合物、或3-缩水甘油氧基丙基三甲氧基硅烷与羟基封端的甲基乙烯基硅氧烷的混合物、或3-缩水甘油氧基丙基三甲氧基硅烷与羟基封端的甲基乙烯基/二甲基硅氧烷共聚物的混合物。 
或者,助粘剂可包含氨基官能硅烷,如氨基官能烷氧基硅烷,该氨基官能烷氧基硅烷的例子有:H2N(CH2)2Si(OCH3)3、H2N(CH2)2Si(OCH2CH3)3、H2N(CH2)3Si(OCH3)3、H2N(CH2)3Si(OCH2CH3)3、CH3NH(CH2)3Si(OCH3)3、CH3NH(CH2)3Si(OCH2CH3)3、CH3NH(CH2)5Si(OCH3)3、CH3NH(CH2)5Si(OCH2CH3)3、H2N(CH2)2NH(CH2)3Si(OCH3)3、H2N(CH2)2NH(CH2)3Si(OCH2CH3)3、CH3NH(CH2)2NH(CH2)3Si(OCH3)3、CH3NH(CH2)2NH(CH2)3Si(OCH2CH3)3、C4H9NH(CH2)2NH(CH2)3Si(OCH3)3、C4H9NH(CH2)2NH(CH2)3Si(OCH2CH3)3、H2N(CH2)2SiCH3(OCH3)2、H2N(CH2)2SiCH3(OCH2CH3)2、H2N(CH2)3SiCH3(OCH3)2、H2N(CH2)3SiCH3(OCH2CH3)2、CH3NH(CH2)3SiCH3(OCH3)2、CH3NH(CH2)3SiCH3(OCH2CH3)2、CH3NH(CH2)5SiCH3(OCH3)2、CH3NH(CH2)5SiCH3(OCH2CH3)2、H2N(CH2)2NH(CH2)3SiCH3(OCH3)2、H2N(CH2)2NH(CH2)3SiCH3(OCH2CH3)2、CH3NH(CH2)2NH(CH2)3SiCH3(OCH3)2、CH3NH(CH2)2NH(CH2)3SiCH3(OCH2CH3)2、C4H9NH(CH2)2NH(CH2)3SiCH3(OCH3)2、C4H9NH(CH2)2NH(CH2)3SiCH3(OCH2CH3)2以及它们的组合。 
或者,助粘剂可包含过渡金属螯合物。适合的过渡金属螯合物包括钛酸酯、锆酸酯(如乙酰丙酮锆)、铝螯合物(如乙酰丙酮铝)以及它们的组合。或者,助粘剂可包含过渡金属螯合物与烷氧基硅烷的组合,如缩水甘油氧基丙基三甲氧基硅烷与铝螯合物或锆螯合物的组合。 
成分(k2)是脱模剂。适合的脱模剂的例子有氟化化合物,如氟官能有机硅或氟官能有机化合物。 
或者,成分(K)的表面改性剂可用于改变组合物的反应产物表面的外观。例如,表面改性剂可以用于增加组合物的反应产物的表面光泽度。这种表面改性剂可包含具有烷基和芳基的聚二有机硅氧烷。例如,DOW 
Figure BDA0000479552660000531
550流体是粘度为125cSt的可从美国密歇根州米德兰市的道康宁公司商购获得的三甲基甲硅烷氧基封端的聚(二甲基/甲基苯基)硅氧烷。 
或者,成分(K)可以是由植物或动物来源获得的天然油,如亚麻籽油、桐油、大豆油、蓖麻油、鱼油、大麻籽油、棉籽油、奥蒂树油或菜籽油。 
成分(K)确切的量取决于多种因素,包括选作为成分(K)的表面改性剂的类型以及组合物及其反应产物的最终用途。然而,以组合物的重量计,成分(K)在存在时,可以0.01重量份至50重量份、或0.01重量份至10重量份、或0.01重量份至5重量份的量加入至组合物中。成分(K)可以是一种助粘剂。或者,成分(K)可包含两种或更多种在至少一个以下性质方面有所不同的不同表面改性剂:结构、粘度、平均分子量、聚合物单元和序列。 
增链剂可包括二官能硅烷和二官能硅氧烷,其在交联发生之前延伸聚有机硅氧烷链的长度。增链剂可用于减小固化产物的伸长模量。增链剂在其反应中与组合物其他成分(如成分(B)和/或成分(C),当存在时)中的脂族不饱和基团和/或硅键合的氢原子竞争。具有相对较低聚合度(如,3至50范围内的DP)的二甲基氢硅氧基封端的聚二甲基硅氧烷可用作成分(L)。成分(L)可以是一种增链剂。或者,成分(L)可以包含在如下性质中至少有一项不同的两种或更多种不同的增链剂:结构、粘度、平均分子量、聚合物单元和序列。 
成分(M)是封端剂,所述封端剂包含M单元,即式R24 3SiO1/2硅氧烷单元,其中每个R24独立地表示单价非官能化的有机基团,如不含脂族不饱和基团的单价烃基。成分(M)可包含一端被三有机甲硅烷基如(CH3)3SiO-封端,且另一端被硅键合的氢原子和/或脂族不饱和有机基团封端的聚有机硅氧烷。成分(M)可以是聚二有机硅氧烷,如聚二甲基硅氧烷。具有硅键合的氢端基和三有机甲硅烷基端基二者的聚二有机硅氧烷可具有超过50%、或超过75%的总端基为硅键合的氢原子。聚二甲基硅氧烷中三有机甲硅烷基的量可用于调节通过使组合物固化而制备的固化产物的模量。不希望受理论的束缚,据认为较高浓度的三有机甲硅烷基端基可以在固化产物中提供较低模量。成分(M)可以是一种封端剂。或者,成分(M)可包含在如下性 质中至少有一项有所不同的两种或更多种不同封端剂:结构、粘度、平均分子量、聚合物单元和序列。 
成分(N)为助熔剂。以组合物中所有成分的重量计,所述组合物可包含0%至2%的助熔剂。含有化学活性官能团(如羧酸和胺)的分子可用作助熔剂。此类助熔剂可包括脂族酸,如琥珀酸、松香酸、油酸和己二酸;芳族酸,如苯甲酸;脂族胺及其衍生物,如三乙醇胺、胺的盐酸盐和胺的氢溴酸盐。助熔剂是本领域已知的,并且可商购获得。 
成分(O)是抗老化添加剂。抗老化添加剂可以包含抗氧化剂、UV吸收剂、UV稳定剂、热稳定剂或它们的组合。适合的抗氧化剂是本领域已知的,并且可商购获得。适合的抗氧化剂包括酚类抗氧化剂及酚类抗氧化剂与稳定剂的组合。酚类抗氧化剂包括完全空间位阻酚和部分位阻酚;以及空间位阻胺,如四甲基哌啶衍生物。合适的酚抗氧化剂包括维生素E和得自美国汽巴特殊化学品公司(Ciba Specialty Chemicals,U.S.A.)的
Figure BDA0000479552660000541
1010。
Figure BDA0000479552660000542
1010包含季戊四醇四(3-(3,5-二-叔丁基-4-羟基苯基)丙酸酯)。UV吸收剂的例子包括支链和直链2-(2H-苯并三唑-2-基)-6-十二烷基-4-甲基-苯酚(571)。UV稳定剂的例子包括癸二酸双(1,2,2,6,6-五甲基-4-哌啶基)酯、1,2,2,6,6-五甲基-4-哌啶基/癸二酸甲酯以及它们的组合(
Figure BDA0000479552660000544
272)。这些和其他
Figure BDA0000479552660000545
添加剂如
Figure BDA0000479552660000546
765可从美国纽约州塔里敦汽巴特殊化学品公司(Ciba Specialty Chemicals,Tarrytown,NY,U.S.A.)商购获得。其他紫外线和光稳定剂可商购获得,其示例为得自科聚亚(Chemtura)的LowLite、得自普立万(PolyOne)的OnCap以及得自美国特拉华州杜邦公司(E.I.du Pont de Nemours and Company,Delaware,U.S.A.)的光稳定剂210。或者,可以使用低聚(较高分子量)稳定剂,例如使稳定剂迁移出组合物或其固化产物的可能性最小化。低聚抗氧化稳定剂的例子(特别是受阻胺光稳定剂(HALS))是Ciba
Figure BDA0000479552660000547
622,其是与4-羟基-2,2,6,6-四甲基-1-哌啶乙醇共聚的丁二酸的二甲酯。热稳定剂可以包括铁氧化物和炭黑、铁羧酸盐、水合铈、锆酸钡、辛酸铈和辛酸锆和卟啉(porphyrin)。 
成分(O)的量取决于多种因素,包括所选择的特定抗老化添加剂和所需抗老化有益效果。然而,以组合物中的所有成分的重量计,成分(O)的量可在0至5重量%、或0.1%至4%、或0.5至3重量%的范围内。成分(O)可 以是一种抗老化添加剂。或者,成分(O)可包含两种或更多种不同抗老化添加剂。 
成分(P)为颜料。出于本申请的目的,术语‘颜料’包括用以给本文中所述组合物的反应产物赋予颜色的任何成分。颜料的量取决于多种因素,包括所选的颜料类型以及产物的期望着色度。例如,以组合物中所有成分的重量计,组合物可包含0至20%、或0.001%至5%的颜料。 
适合的颜料的例子包括靛蓝、二氧化钛Stan-Tone50SP01绿(其可从普立万购得)和炭黑。炭黑的代表性非限制性例子包括Shawinigan乙炔黑,其可从雪佛龙菲利浦化学公司(Chevron Phillips Chemical Company LP)购得;由美国伊利诺斯州费尔维尤高地的海名斯颜料公司(Elementis Pigments Inc.,Fairview Heights,IL U.S.A.)供应的
Figure BDA0000479552660000551
炭黑(LB-1011);由美国俄亥俄州阿克伦市的西德·理查德森碳公司(Sid Richardson Carbon Co,Akron,OH U.S.A.)供应的SR511;和N330、N550、N762、N990(来自美国新泽西州帕西帕尼市的德固萨工程碳公司(Degussa Engineered Carbons,Parsippany,NJ,U.S.A.))。 
成分(Q)为酸受体。合适的酸受体包括氧化镁、氧化钙以及它们的组合。以组合物的重量计,所述组合物可包含0%至2%的成分(Q)。 
以组合物的重量计,组合物可任选还包含至多5%、或1%至2%的成分(R)流变添加剂以改进组合物的流变性。流变添加剂是本领域已知的,并且可商购获得。例子包括聚酰胺,可从赢创公司(Evonik)商购获得的Polyvest、得自金氏工业(King Industries)的Disparlon、得自杜邦公司(Du Pont)的Kevlar纤维浆、得自纳科公司(Nanocor)的Rheospan和得自路博润公司(Lubrizol)的Ircogel。其他适合的流变添加剂包括聚酰胺蜡;氢化蓖麻油衍生物;和金属皂,如硬脂酸钙、硬脂酸铝和硬脂酸钡,以及它们的组合。 
或者,成分(R)可包含在25℃下是固体的微晶蜡(蜡)。可以选择熔点,以使得蜡在所需施用温度范围的下端值具有熔点。不希望受理论的束缚,据认为成分(R)充当改善组合物流动性的加工助剂。不希望受理论的束缚,据认为蜡的掺入也可促进填料掺入、配混和脱气(组合物制备期间)、以及混合(在多组分组合物的各部分施用期间的静态或动态混合)。认为蜡在熔融时充当加工助剂,从而实质上使混配期间填料掺入组 合物、混配过程本身以及脱气步骤期间(在使用时)变得容易。具有低于100℃的熔融温度的蜡可有助于多组分组合物中的各部分在应用之前的混合,甚至是在简单静态混合器中也如此。 
适用作成分(R)的蜡可以是非极性烃。蜡可具有支链结构、环结构或它们的组合。例如,石油微晶蜡可得自美国纽约州西巴比伦的史东毕斯公司(Strahl&Pitsch,Inc.,West Babylon,NY,U.S.A.),并且包括SP96(熔点在62℃至69℃的范围内)、SP18(熔点在73℃至80℃的范围内)、SP19(熔点在76℃至83℃的范围内)、SP26(熔点在76℃至83℃的范围内)、SP60(熔点在79℃至85℃的范围内)、SP617(熔点在88℃至93℃的范围内)、SP89(熔点在90℃至95℃的范围内)和SP624(熔点在90℃至95℃的范围内)。其他石油微晶蜡包括由美国宾西法尼亚州彼得罗利亚的康普顿公司(Crompton Corporation,Petrolia,Pennsylvania,U.S.A.)以商标
Figure BDA0000479552660000561
销售的蜡。这些蜡包括180-W,其包含饱和支链和环状非极性烃,并且具有在79℃至87℃范围内的熔点;
Figure BDA0000479552660000562
W-445,其包含饱和支链和环状非极性烃,并且具有76℃至83℃范围内的熔点;和 
Figure BDA0000479552660000563
W-835,其包含饱和支链和环状非极性烃,并且具有73℃至80℃的熔点。 
成分(R)的量取决于多种因素,包括所选择的特定流变添加剂和组合物中其他成分的选择。然而,以组合物中所有成分的重量计,成分(R)的量可以在0份至20份、或1份至15份以及或者1份至5份的范围内。成分(R)可以是一种流变添加剂。或者,成分(R)可包含两种或更多种不同流变添加剂。 
在组合物中可以使用媒介物。媒介物可有助于组合物的流动和某些成分(如有机硅树脂)的引入。本文所用的媒介物是有助于使组合物的成分流化但基本上不与成分反应的那些媒介物。可以基于组合物中成分的溶解性和挥发性来对媒介物进行选择。溶解性是指媒介物足以溶解和/或分散组合物的成分。挥发性是指媒介物的蒸气压。如果媒介物的挥发性过高(具有过高的蒸气压),那么可能会在硅氢加成反应期间在组合物中形成气泡,并且这些气泡可能会引起裂隙或以其他方式削弱或不利地影响反应产物的性质。然而,如果媒介物的挥发性不足(蒸气压过低),那么媒介物可能会在组合物的反应产物中以增塑剂形式残留。 
合适的媒介物包括具有合适的蒸气压的聚有机硅氧烷,如六甲基二硅氧烷、八甲基三硅氧烷、六甲基环三硅氧烷以及其他低分子量聚有机硅氧烷,如可商购自美国密歇根州米德兰市的道康宁公司的0.5cSt至1.5cSt的Dow
Figure BDA0000479552660000571
200流体和Dow
Figure BDA0000479552660000572
OS流体。 
或者,媒介物可以包含有机溶剂。有机溶剂可以是醇,如甲醇、乙醇、异丙醇、丁醇或正丙醇;酮,如丙酮、甲基乙基酮或甲基异丁基酮;芳族烃,如苯、甲苯或二甲苯;脂族烃,如庚烷、己烷或辛烷;二醇醚,如丙二醇甲醚、二丙二醇甲基醚、丙二醇正丁醚、丙二醇正丙醚或乙二醇正丁醚;卤化烃,如二氯甲烷、1,1,1-三氯乙烷或二氯甲烷、氯仿;二甲基亚砜;二甲基甲酰胺;乙腈;四氢呋喃;白酒;矿油精;石脑油(naphtha);正甲基吡咯烷酮;或它们的组合。 
媒介物的量将取决于多种因素,包括所选媒介物的类型以及选用于组合物的其他成分的量和类型。然而,以组合物中所有成分的重量计,媒介物的量可以在1%至99%、或2%至50%的范围内。在制备所述组合物的过程中可添加成分(S),例如用于帮助混合和递送。在制备好所述组合物后,可任选移除成分(S)的全部或一部分。 
成分(T)为表面活性剂。合适的表面活性剂包括有机硅聚醚、环氧乙烷聚合物、环氧丙烷聚合物、环氧乙烷和环氧丙烷的共聚物、其他非离子型表面活性剂以及它们的组合。以组合物中所有成分的重量计,所述组合物可包含0%至0.05%的表面活性剂。 
成分(U)是腐蚀抑制剂。合适的腐蚀抑制剂的例子包括苯并三唑、巯基苯并三唑以及可商购获得的腐蚀抑制剂,如来自美国康涅狄格州诺沃克市的R.T.范德比尔特公司(R.T.Vanderbilt,Norwalk,Connecticut,U.S.A.)的2,5-二巯基-1,3,4-噻二唑衍生物(
Figure BDA0000479552660000573
826)和烷基噻二唑(
Figure BDA0000479552660000574
484)。在成分(U)存在时,以组合物的重量计,成分(U)的量可以在0.05%至0.5%的范围内。 
当选择上述组合物的成分时,成分类型之间可存在重叠,这是因为本文所述的某些成分可具有不止一种功能。例如,某些烷氧基硅烷可用作填料处理剂并用作助粘剂,而某些增塑剂(如脂肪酸酯)还可用作填料处理剂。某些微粒可用作填料并用作颜料,甚至用作阻燃剂,如炭黑。当将附加成分添加至所述组合物中时,所述附加成分彼此不同。 
组合物可通过包括用任何便利的方式(例如在室温或高温下混合)将全部成分合并在一起的方法制备。成分(I)当存在时可在成分(A)之前添加,例如当将在高温下制备所述组合物时,和/或当将所述组合物制备为单组分组合物时。 
当存在成分(G)时,组合物可任选通过用成分(G)对微粒成分(如,填料和/或隔离物,如果存在的话)进行表面处理并随后将其产物与所述组合物的其他成分混合来制备。 
或者,可将组合物制备成多组分组合物,例如,当不存在成分(I)或当组合物在使用前将被保存很长一段时间时。在多组分组合物中,成分(A)被保存在与任何具有硅键合的氢原子的成分(例如成分(C))分开的部分中,并且在使用组合物之前不久将各部分合并。例如,可通过任何方便的方式例如混合,将包含(B)、(A)、(F)的成分和任选的上述一种或多种其他附加成分合并以形成基础料,来制备双组分组合物。可通过任何方便的方式例如混合,将包含(B)、(C)的成分和任选的上述一种或多种其他附加成分合并,来制备固化剂。可在环境温度或高温下合并各成分。当使用双组分组合物时,基础料与固化剂的量的重量比可在1:1至10:1的范围内。该组合物将通过硅氢加成反应来反应,从而形成反应产物。该反应产物可具有多种形式,如硅烷、树胶、凝胶、橡胶或树脂。 
实例
这些实例旨在说明本发明的一些实施例,并且不应理解为限制权利要求书中所述的本发明的范围。如下成分用于实例中。 
脂族不饱和化合物可以是苯乙烯(B1)、1-辛烯(B2)或1-己烯(B3),所有这些也可获自西格玛奥德里奇公司。或者,脂族不饱和化合物可以是(B4)乙烯基封端的聚二甲基硅氧烷,含有2.6meq的硅键合乙烯基并且具有9400的Mw和200cSt的粘度,其可以DMS-V22商购自美国宾夕法尼亚州莫里斯维尔的盖勒斯特公司。SiH官能化合物可以是(C1)三甲基甲硅烷氧基封端的聚(甲基氢)硅氧烷(“MDHM”),具有1,800至2,100范围内的Mw和2.6meq的SiH含量,也可从盖勒斯特公司以HMS-992商购获得。或者,SiH官能化合物可以是(C2)苯基硅烷(“H3SiPh”),其可商购自西格玛奥德里奇公司。 
对照催化剂是DOW
Figure BDA0000479552660000591
2-0707INT,其为Pt与聚有机硅氧烷的络合物。DOW
Figure BDA0000479552660000592
2-0707INT可商购自美国密歇根州米德兰市的道康宁公司。 
可以使用如下模型反应中的一种或多种来测试如上文关于成分(A)所述而制备的反应产物的催化活性。在[PhSi]反应中使用成分(B3)和(C2)以试图制备包含PhSiHz(C6H13)(3-z)的反应产物[I]。在[HMTS]反应中使用成分(B3)和(C1)以试图制备包含(H3C)3Si-O-Si(CH2)(C6H13)-O-Si(CH3)3的反应产物[II]。 
Figure BDA0000479552660000593
实例1-形成金属-配体络合物
通过以下方法制备前体溶液:将0.025摩尔/升(M)浓度的上面表1中所述的V前体与THF混合,或如果该前体不溶于THF中,则将该前体与选自二甲亚砜(DMSO)、甲苯以及己烷的用于溶解配体的合适溶剂混合。在这些实例中,V-1前体是溴化钒(III),V-2前体是氯化钒(III)(四氢呋喃加合物)。还通过将0.025M浓度的配体与THF混合来制备上文在表2中所示的每种配体的溶液。将上面制备的每种配体溶液以每小瓶85微升(μL)分配进2毫升(mL)小瓶中。为了制备有待评价的作为成分(A)的样品,将上述金属前体溶液中的一种添加至容纳配体的小瓶中,并添加另外85微升(μL)的THF,并在25℃的室温下将小瓶内容物以300RPM混合2小时。添加足量的金属前体溶液以使得金属:配体比率是1:1或1:2。将小瓶中的所得混合物冷却至-17℃的温度。添加活化剂,并让小瓶恢复到室温。活化剂是95μL的0.05M浓度的LiBArF的THF溶液或NaEt3BH的甲苯溶液。将小瓶内容物混合2小时。对所得的小瓶内容物在催化硅氢加成方面的用途进行评价。 
实例2-[PhSi]反应
为了进行[PhSi]反应,将PhSiH3(C2)的十二烷溶液和1-己烯(B3)添加至根据实例1所制备的小瓶中。添加至小瓶中的PhSiH3(C2)的量是170μL的6.25M(以H或SiH计)的PhSiH3(C2)的十二烷溶液,或132.4μLPhSiH3(C2)于37.6μL十二烷中的溶液。1-己烯(B3)的量是145μL。将每个小瓶在50℃下混合过夜(持续16小时)。通过GC,根据下文所述的方法对每个小瓶的所得内容物进行分析。 
实例3-[HMTS]反应
为了进行[HMTS]反应,将1-己烯(B3)和1,1,1,3,5,5,5-七甲基三硅氧烷(C1)添加至根据实例1所制备的小瓶中。所添加的1-己烯的量是145μL。七甲基三硅氧烷(C1)的量是312μL的3.4M浓度(以H或SiH计)的七甲基三硅氧烷(C1)的十二烷溶液,或290μL七甲基三硅氧烷(C1)于22μL十二烷中的溶液。将每个小瓶在50℃下混合过夜(持续16小时)。通过GC,根据下文所述的方法对每个小瓶的所得内容物进行分析。 
实例4-GC测量
对在上述实例中制备的样品进行气相色谱(GC)分析。使用具有火焰电离检测器(FID)的Hewlett-Packard7890A气相色谱仪进行GC分析。使用Leap Combi-Pal机器人以自动化方式进行注射。如表3中的详细描述对该系统进行配置。 
表3:GC-FID实验参数设置。 
 
载气-99.9998%高纯度氦气
检测器-FID,在280℃下,H2=30mL/min,空气=300mL/min,尾吹氦气=45mL/min
GC入口,分流-275℃,分流比=200:1,恒压(总流速:22.5毫升/分钟)
GC柱-Agilent低热质量柱,350℃,30m×320μm×0.25μm
GC温度程序-55(3)至300(5),以35℃/分钟,总运行时间:15分钟
内标-5%(w/w)苯基硅烷中的十二烷
数据系统-Agilent Technologies ChemStation
GC温度程序的细节如下表4中,其中使用300℃恒温的烘箱。 
表4
Figure BDA0000479552660000611
使用十二烷作为内标来对色谱分析进行重量分析定量。在反应之前以5%(w/w)的十二烷和苯基硅烷的溶液引入内标。对分析物的理论响应因子进行计算并输入ChemStation中以自动地生成校准表并且在内标存在的情况下对分析物的浓度进行定量计算(公式1)。 
RF分析物=([分析物]/面积分析物)×(面积IS/[IS])×RFIS(1) 
公式1中的术语定义如下:RF分析物=分析物的响应因子,[分析物]=分析物的浓度,面积分析物=分析物的峰面积,面积IS=内标的峰面积,[IS]=内标的浓度,RFIS=内标的响应因子。 
在涵盖实验误差和仪器误差的情况下,测量结果的相对标准偏差在0.3%至10%的范围内,这取决于分析物的浓度以及相应的收率。表5中给出结果。 
表5-结果
Figure DEST_PATH_GDA0000489568450000612
Figure DEST_PATH_GDA0000489568450000631
Figure DEST_PATH_GDA0000489568450000641
在表5中,V-1前体是溴化钒(III),V-2前体是氯化钒(III)(四氢呋喃加合物)。 

Claims (13)

1.一种方法,所述方法包括:
(1)将包含V前体和配体的成分合并,从而制备反应产物,其中
所述V前体具有式(i)V-A3,其中每个A独立地为卤素原子,并且
所述配体是通式(xi)的化合物、配体2075、配体5177、通式(iv)的化合物、配体3544、通式(xix)的化合物或配体9042中的一者,其中
通式(xi)是
Figure FDA0000479552650000011
其中下标u是0至3,每个A91独立地选自单价有机基团和卤素,A92选自H、卤素、单价无机含杂原子基团和单价有机基团,A93选自H和单价有机基团,前提条件是A93不是苯酚或五氟苯,A94是H或单价有机基团,并且A95是H或单价有机基团,前提条件是A95不是硝基;或者
通式(iv)是
Figure FDA0000479552650000012
其中Q23选自O和S,A24和A25各自独立地选自H和单价有机基团,前提条件是A24不是二异丙基苯,下标e是0至4的整数,下标f是0至5的整数,并且每个A22以及每个A23独立地选自单价有机基团和卤素;或者
通式(xix)是
Figure FDA0000479552650000021
其中Q161选自S和C,Q162选自N和C,A161、A162、A163各自独立地选自氢和单价有机基团,并且A164和A165各自独立地为氢或单价有机基团;或者
Figure FDA0000479552650000022
2.一种方法,所述方法包括:
(1)将包含V前体和配体的成分合并,从而制备反应产物,其中
所述V前体具有式(i)V-A3,其中每个A独立地为单价有机基团,并且
所述配体是通式(x)的化合物、配体1430、通式(xxi)的化合物、配体10150、通式(xxiii)的化合物、配体10406、通式(xxii)的化合物或配体10451中的一者,其中
通式(x)是
Figure FDA0000479552650000031
其中A81、A82和A83各自独立地选自H、单价有机基团和卤素,下标t是0至4的整数,并且每个A84独立地选自单价有机基团和卤素;或者
通式(xxi)是
Figure FDA0000479552650000032
其中A181、A182、A183和A184各自独立地选自H、单价有机基团和卤素,A185和A186各自独立地为二价有机基,并且Q181、Q182和Q183各自独立地选自N和P;或者
通式(xxiii)是
Figure FDA0000479552650000033
其中Q185是O或S,A204、A205、A206和A207各自独立地选自H、单价有机基团、卤素和无机含杂原子基团,下标hh是0至3的整数,下标ii是0至3的整数,每个A202以及每个A203独立地选自单价有机基团、卤素和无机含杂原子基团,A201是二价有机基团或不存在;或者
通式(xxii)是
Figure FDA0000479552650000041
其中A191、A192、A193和A194各自独立地选自H和单价有机基团,前提条件是A191、A192、A193和A194不是叔丁基、均三甲苯基或二异丙基苯,并且前提条件是A192与A193可以键合在一起而形成环基;或者
Figure FDA0000479552650000042
3.根据权利要求1或权利要求2所述的方法,所述方法还包括(2)将所述反应产物与离子活化剂(LiBArF)合并。
4.一种方法,所述方法包括:
(1)将包含V前体和配体的成分合并,从而制备反应产物,其中
所述V前体具有式(i)V-A3,其中每个A独立地为卤素原子,并且
所述配体是通式(vii)的化合物、配体2921、通式(iii)的化合物、配体3505、通式(xviii)的化合物、配体3749、通式(xiii)的化合物或配体6269中的一者,其中
通式(vii)是其中Q51和Q52独立地选自O和S,下标n是0至5的整数,下标o是0至5的整数,下标p是0至3的整数,并且每个A51、每个A52以及每个A53独立地选自卤素和单价有机基团;或者
通式(iii)是
Figure FDA0000479552650000052
其中Q11选自N、O、P和S,Q12和Q13各自独立地选自O和S,A11、A14和A15各自独立地选自H和单价有机基团,下标c是0至4的整数,下标d是0至4的整数,并且每个A12以及每个A13独立地选自单价有机基团、卤素和无机含杂原子基团;或者
通式(xviii)是其中A151和A154各自独立地选自H、单价有机基团和卤素,前提条件是A151不是苯基,下标ee是0至4的整数,下标ff是0至5的整数,每个A152以及每个A153独立地选自单价有机基团和卤素,前提条件是A152不能是三氟甲基;或者
通式(xiii)
Figure FDA0000479552650000061
其中Q111是O或S,A111选自H、单价有机基团和卤素,并且每个A112以及每个A113独立地选自单价有机基团和卤素,下标x是0至3的整数,并且下标y是0至3的整数;或者
通式(xxv)
Figure FDA0000479552650000062
其中Q200是O或S,A220、A221和A222各自独立地选自H、单价有机基团、卤素和无机含杂原子基团,下标ss是0至4的整数,下标rr是0至5的整数,下标tt是0至5的整数,每个A223、每个A224以及每个A225独立地为单价有机基团;或者
Figure FDA0000479552650000071
5.一种方法,所述方法包括:
(1)将包含V前体和配体的成分合并,从而制备反应产物,其中
所述V前体具有式(i)V-A3,其中每个A独立地为单价有机基团,并且
所述配体是通式(vi)的化合物、配体487、通式(v)的化合物、配体788、配体805、通式(xviii)的化合物、配体1483、配体3746、通式(ix)的化合物、配体1547、配体3191、通式(xx)的化合物、配体1936、配体2956、通式(xi)的化合物、配体2075、配体2272、配体5177、通式(ii)的化合物、配体2927、配体6870、通式(xxiv)的化合物、配体3179、通式(xvii)的化合物、配体3472、配体4098、通式(iii)的化合物、配体3500、通式(iv)的化合物、配体3544、通式(xii)的化合物、配体6372、通式(xiv)的化合物、配体7471、通式(xv)的化合物、配体7534、通式(xix)的化合物、配体9042、配体9072、通式(xxi)的化合物、配体10394、配体10395、通式(viii)的化合物、配体10396、通式(xxiii)的化合物、配体10403、配体10405、通式(xvi)的化合物、配体10407、通式(xxii)的化合物或配体10450中的一者;其中
通式(ii)是
Figure FDA0000479552650000081
其中Q1和Q2各自独立地选自O和S,A1和A2独立地选自H和单价有机基团,前提条件是如果Q1和Q2二者均为S则A1和A2不是叔丁基或苯基,下标b是0至3的整数,每个A3独立地选自卤素、单价无机含杂原子基团和单价有机基团;或者
通式(iii)是
Figure FDA0000479552650000082
其中Q11选自N、O、P和S,Q12和Q13各自独立地选自O和S,A11、A14和A15各自独立地选自H和单价有机基团,下标c是0至4的整数,下标d是0至4的整数,并且每个A12以及每个A13独立地选自单价有机基团、卤素和无机含杂原子基团;或者
通式(iv)是
Figure FDA0000479552650000083
其中Q23选自O和S,A24和A25各自独立地选自H和单价有机基团,前提条件是A24不是二异丙基苯,下标e是0至4的整数,下标f是0至5的整数,并且每个A22以及每个A23独立地选自单价有机基团和卤素;或者
通式(v)是
Figure FDA0000479552650000091
其中下标g、h和i中的每一者独立地为0至4的整数,每个A31、每个A33以及每个A34独立地为单价有机基团,并且前提条件是A33的两个或更多个实体可形成稠合的环结构,所述稠合的环结构可以是杂芳族基团或杂环基团,A32和A35各自独立地选自氢和单价有机基团,前提条件是A35不是Me;或者
通式(vi)是
Figure FDA0000479552650000092
其中A41是单价有机基团,A42选自H和单价有机基团,下标j是0至3的整数,每个A43独立地为单价有机基团或卤素,A44选自单价有机基团或卤素,前提条件是A44不是甲基或三氟甲基,下标k是0至4的整数,并且每个A45选自卤素和单价有机基团;或者
通式(viii)是
Figure FDA0000479552650000093
其中A61、A62、A63和A64各自独立地选自氢、单价有机基团和卤素,并且A65是二价直链脂族有机基团,前提条件是A61和A62可以形成环结构,并且/或者A63与A64可以组合而形成环结构;或者
通式(ix)是
Figure FDA0000479552650000101
其中A71和A72各自独立地选自H、单价有机基团,前提条件是A71和A72可在环基中接合在一起,A73选自H、单价有机基团和卤素,下标q是0至4的整数,下标r是0至4的整数,每个A74以及每个A75独立地选自单价有机基团和卤素,并且A76选自H、卤素和单价有机基团,前提条件是A76不是CN;或者
通式(xi)是
Figure FDA0000479552650000102
其中下标u是0至3,每个A91独立地选自单价有机基团和卤素,A92选自H、卤素、单价无机含杂原子基团和单价有机基团,A93选自H和单价有机基团,前提条件是A93不是苯酚或五氟苯,A94是H或单价有机基团,并且A95是H或单价有机基团,前提条件是A95不是硝基;或者
通式(xii)是
Figure FDA0000479552650000103
其中下标v是0至4的整数,每个A100独立地选自卤素和单价有机基团,前提条件是A100不是叔丁基,下标w是0至4的整数,每个A101独立地选自卤素和单价有机基团,前提条件是A101不是叔丁基;或者
通式(xiv)是
Figure FDA0000479552650000111
其中A115和A116各自独立地选自H、单价有机基团和卤素,下标z是0至5的整数,下标aa是0至5的整数,并且每个A117以及每个A118独立地选自单价有机基团和卤素;或者
通式(xv)是
Figure FDA0000479552650000112
其中Q121选自O和S,A121和A122独立地选自H、单价有机基团或卤素,下标bb是0至3的整数,每个A123独立地为单价有机基团或卤素;并且A124选自H、卤素和单价有机基团,前提条件是A124不是苯基;或者
通式(xvi)是
Figure FDA0000479552650000113
其中A131和A132各自独立地选自H、单价有机基团和卤素,A133和A134各自独立地选自H、单价有机基团和卤素,下标cc是0至4的整数,每个A135独立地选自单价有机基团和卤素;或者
通式(xvii)是
Figure FDA0000479552650000121
其中A141和A142独立地选自H和单价有机基团,下标dd是0至7的整数,并且每个A143独立地为单价有机基团或卤素;或者
通式(xviii)是
Figure FDA0000479552650000122
其中A151和A154各自独立地选自H、单价有机基团和卤素,前提条件是A151不是苯基,下标ee是0至4的整数,下标ff是0至5的整数,每个A152以及每个A153独立地选自单价有机基团和卤素,前提条件是A152不能是三氟甲基;或者
通式(xix)是
Figure FDA0000479552650000123
其中Q161选自S和C,Q162选自N和C,A161、A162、A163各自独立地选自氢和单价有机基团,并且A164和A165各自独立地为氢或单价有机基团;或者
通式(xx)是
Figure FDA0000479552650000124
其中A171、A172、A173和A174各自独立地选自H、单价有机基团和卤素;或者
通式(xxi)是
Figure FDA0000479552650000131
其中A181、A182、A183和A184各自独立地选自H、单价有机基团和卤素,A185和A186各自独立地为二价有机基,并且Q181、Q182和Q183各自独立地选自N和P;或者
通式(xxii)是
Figure FDA0000479552650000132
其中A191、A192、A193和A194各自独立地选自H和单价有机基团,前提条件是A191、A192、A193和A194不是叔丁基、均三甲苯基或二异丙基苯,并且前提条件是A192与A193可以键合在一起而形成环基;或者
通式(xxiii)是
Figure FDA0000479552650000133
其中Q185是O或S,A204、A205、A206和A207各自独立地选自H、单价有机基团、卤素和无机含杂原子基团,下标hh是0至3的整数,下标ii是0至3的整数,每个A202以及每个A203独立地选自单价有机基团、卤素和无机含杂原子基团,A201是二价有机基团或不存在;或者
通式(xxiv)是
Figure FDA0000479552650000134
其中A211和A212各自独立地选自H、单价有机基团和卤素;或者
Figure FDA0000479552650000151
Figure FDA0000479552650000161
Figure FDA0000479552650000171
6.根据权利要求4或权利要求5所述的方法,所述方法还包括(2)将所述反应产物与还原剂(NaEt3BH)合并。
7.根据权利要求1-6中任一项所述的方法,其中所述反应产物包含V-配体络合物以及所述V前体与所述配体反应或其中的副反应的副产物。
8.根据权利要求7所述的方法,所述方法还包括移除所述副产物的全部或一部分。
9.根据权利要求1-8中任一项所述的方法,所述方法还包括将通过所述方法制备的所述产物用作硅氢加成催化剂。
10.一种组合物,所述组合物包含:
(A)通过根据权利要求1–8中任一项所述的方法制备的产物;
(B)脂族不饱和化合物,所述脂族不饱和化合物平均每分子具有一个或多个能够进行硅氢加成反应的脂族不饱和有机基团;和
(C)式R4 eSiHf的硅烷,其中下标e是0、1、2或3;下标f是1、2、3或4,前提条件是(e+f)之和是4,并且每个R4独立地为卤素原子或单价有机基团。
11.根据权利要求10所述的组合物,其中所述组合物还包含一种或多种另外的成分,所述一种或多种另外的成分不同于成分(A)、(B)和(C),并且选自:(D)隔离物;(E)增量剂、增塑剂或它们的组合;(F)填料;(G)填料处理剂;(H)杀生物剂;(I)稳定剂;(J)阻燃剂;(K)表面改性剂;(L)增链剂;(M)封端剂;(N)助熔剂;(O)抗老化添加剂;(P)颜料;(Q)酸受体;(R)流变添加剂;(S)媒介物;(T)表面活性剂;(U)腐蚀抑制剂;以及它们的组合。
12.一种用于制备根据权利要求10或权利要求11所述的组合物的方法,所述方法包括:
混合包含成分(A)、(B)和(C)的成分;或者
混合包含以下的成分以形成固化剂:成分(A)和(B),以及任选地,成分(D)、(E)、(F)、(G)、(H)、(I)、(J)、(K)、(L)、(M)、(N)、(O)、(P)、(Q)、(R)、(S)、(T)和(U)中的一种或多种,以及混合包含以下的成分以形成基础料:成分(B)和(C),以及任选地,成分(D)、(E)、(F)、(G)、(H)、(I)、(J)、(K)、(L)、(M)、(N)、(O)、(P)、(Q)、(R)、(S)、(T)和(U)中的一种或多种,以及将所述固化剂与所述基础料混合;
以制备所述组合物。
13.一种根据权利要求10-12中任一项所述的组合物的反应产物。
CN201280045778.3A 2011-09-20 2012-09-20 含钒硅氢加成催化剂及含有该催化剂的组合物 Pending CN103857685A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161536799P 2011-09-20 2011-09-20
US61/536,799 2011-09-20
PCT/US2012/056265 WO2013043825A2 (en) 2011-09-20 2012-09-20 Vanadium containing hydrosilylation catalysts and compositions containing the catalysts

Publications (1)

Publication Number Publication Date
CN103857685A true CN103857685A (zh) 2014-06-11

Family

ID=55456475

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280045778.3A Pending CN103857685A (zh) 2011-09-20 2012-09-20 含钒硅氢加成催化剂及含有该催化剂的组合物

Country Status (5)

Country Link
US (1) US20140200348A1 (zh)
EP (1) EP2758410A2 (zh)
JP (1) JP2015501287A (zh)
CN (1) CN103857685A (zh)
WO (1) WO2013043825A2 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9834324B2 (en) 2014-11-05 2017-12-05 The Boeing Company Thrust apparatuses, systems, and methods
ES2910071T3 (es) 2018-03-08 2022-05-11 Incyte Corp Compuestos de aminopirazina diol como inhibidores de PI3K-Y
WO2020010003A1 (en) 2018-07-02 2020-01-09 Incyte Corporation AMINOPYRAZINE DERIVATIVES AS PI3K-γ INHIBITORS

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1850870A (zh) * 2006-05-25 2006-10-25 中国科学院长春应用化学研究所 一种β-二酮单亚胺钒烯烃聚合催化剂及制备方法和应用
CN300850870S (zh) * 2007-10-17 2008-11-26 潘国强 上衣(22)

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2676182A (en) 1950-09-13 1954-04-20 Dow Corning Copolymeric siloxanes and methods of preparing them
US3296291A (en) 1962-07-02 1967-01-03 Gen Electric Reaction of silanes with unsaturated olefinic compounds
US3220972A (en) 1962-07-02 1965-11-30 Gen Electric Organosilicon process using a chloroplatinic acid reaction product as the catalyst
US3159601A (en) 1962-07-02 1964-12-01 Gen Electric Platinum-olefin complex catalyzed addition of hydrogen- and alkenyl-substituted siloxanes
NL131800C (zh) 1965-05-17
US3516946A (en) 1967-09-29 1970-06-23 Gen Electric Platinum catalyst composition for hydrosilation reactions
US3814730A (en) 1970-08-06 1974-06-04 Gen Electric Platinum complexes of unsaturated siloxanes and platinum containing organopolysiloxanes
US3989668A (en) 1975-07-14 1976-11-02 Dow Corning Corporation Method of making a silicone elastomer and the elastomer prepared thereby
US4370358A (en) 1980-09-22 1983-01-25 General Electric Company Ultraviolet curable silicone adhesives
US4585836A (en) 1984-10-29 1986-04-29 Dow Corning Corporation Silicone pressure-sensitive adhesive process and product with improved lap-shear stability-II
US4584355A (en) 1984-10-29 1986-04-22 Dow Corning Corporation Silicone pressure-sensitive adhesive process and product with improved lap-shear stability-I
US4591622A (en) 1984-10-29 1986-05-27 Dow Corning Corporation Silicone pressure-sensitive adhesive process and product thereof
JPS61195129A (ja) 1985-02-22 1986-08-29 Toray Silicone Co Ltd 有機けい素重合体の製造方法
US4766176A (en) 1987-07-20 1988-08-23 Dow Corning Corporation Storage stable heat curable organosiloxane compositions containing microencapsulated platinum-containing catalysts
US4784879A (en) 1987-07-20 1988-11-15 Dow Corning Corporation Method for preparing a microencapsulated compound of a platinum group metal
JP2630993B2 (ja) 1988-06-23 1997-07-16 東レ・ダウコーニング・シリコーン株式会社 ヒドロシリル化反応用白金系触媒含有粒状物およびその製造方法
JPH0214244A (ja) 1988-06-30 1990-01-18 Toray Dow Corning Silicone Co Ltd 加熱硬化性オルガノポリシロキサン組成物
US5036117A (en) 1989-11-03 1991-07-30 Dow Corning Corporation Heat-curable silicone compositions having improved bath life
GB9103191D0 (en) 1991-02-14 1991-04-03 Dow Corning Platinum complexes and use thereof
JP2511348B2 (ja) 1991-10-17 1996-06-26 東レ・ダウコーニング・シリコーン株式会社 オルガノポリシロキサンおよびその製造方法
US7429636B2 (en) 2002-05-01 2008-09-30 Dow Corning Corporation Organohydrogensilicon compounds
AU2003231175A1 (en) 2002-05-01 2003-11-17 Dow Corning Corporation Compositions having improved bath life

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1850870A (zh) * 2006-05-25 2006-10-25 中国科学院长春应用化学研究所 一种β-二酮单亚胺钒烯烃聚合催化剂及制备方法和应用
CN300850870S (zh) * 2007-10-17 2008-11-26 潘国强 上衣(22)

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
ARTHUR ROSENHEIM 等: "Verbindungen des dreiwertigen Vanadiums", 《ZEITSCHRIFT FUR ANORGANISCHE UND ALLGEMEINE CHEMIE》, vol. 201, no. 1, 9 November 2004 (2004-11-09), pages 162 - 174, XP 002689970 *
DONGREN WANG等: "Formation,Preservation,and Cleavage of the Disulfide Bondby Vanadium", 《CHEM.EUR.J》, vol. 9, no. 8, 8 April 2003 (2003-04-08), pages 1805 - 1813 *
H.NEKOLA 等: "Thiofunctional Vanadium Complexes", 《INORG.CHEM.》, vol. 41, no. 9, 11 April 2002 (2002-04-11), XP 002271234, DOI: doi:10.1021/ic011118z *

Also Published As

Publication number Publication date
EP2758410A2 (en) 2014-07-30
WO2013043825A3 (en) 2013-06-27
JP2015501287A (ja) 2015-01-15
US20140200348A1 (en) 2014-07-17
WO2013043825A2 (en) 2013-03-28

Similar Documents

Publication Publication Date Title
CN103946228A (zh) 含钴硅氢加成催化剂及含有该催化剂的组合物
CN104024264A (zh) 含金属的硅氢加成催化剂以及含该催化剂的组合物
CN103958059B (zh) 硅氢加成反应催化剂和可固化组合物及它们的制备和使用方法
CN104024267A (zh) 含铁硅氢加成催化剂及含有该催化剂的组合物
CN103814038A (zh) 含钌硅氢加成催化剂及含有该催化剂的组合物
CN103842368A (zh) 含铱硅氢加成催化剂及含有该催化剂的组合物
CN103814040B (zh) 含镍硅氢加成催化剂及含有该催化剂的组合物
CN103842441B (zh) 含铁(iii)络合物和缩合反应催化剂、制备该催化剂的方法及含有该催化剂的组合物
CN103814037A (zh) 含锰硅氢加成催化剂及含有该催化剂的组合物
CN103619861A (zh) 硅氢加成反应可固化组合物及其制备和使用方法
CN107207546B (zh) 配体组分、相关反应产物、活化的反应产物、包含它们的组合物及其制备方法
CN103857685A (zh) 含钒硅氢加成催化剂及含有该催化剂的组合物
US20140182483A1 (en) Titanium Containing Hydrosilylation Catalysts And Compositions Containing The Catalysts
US20140228573A1 (en) Zirconium Containing Hydrosilylation Catalysts and Compositions Containing the Catalysts
US20140231703A1 (en) Molybdenum Containing Hydrosilylation Reaction Catalysts and Compositions Containing the Catalysts
US20140206863A1 (en) Copper Containing Hydrosilylation Catalysts and Compositions Containing the Catalysts

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20140611